ISE 时钟约束

记录一下项目中用到的知识,之前从来没用过ISE,由于目前公司原型验证的平台采用了较老的Vitex-6系列芯片,不得不学习下ISE的ucf约束,如有错误望指正~

系统架构如图所示:Image

系统的输入时钟有两个,一个板级的晶振为FPGA提供40M的时钟,另外射频SOC为FPGA提供一个16M的时钟,该时钟和送入FPGA的IQ ADC数据是同步的,因此在ISE中首先要对这两个时钟进行约束:

NET "Board_clk_40M" LOC PIN1;

NET "Board_clk_40M" TNM_NET = Board_clk_40M;

TIMESPEC TS_Board_clk_40M = PERIOD "Board_clk_40M" 25 ns HIGH 50%;

TNM/TNM_NET 在ISE的UCF约束文件中的语法表示分组约束,语法为{NET|INST|PIN}"ob_name" TNM_NET =“ New_group";其中NET表示从该ob_name的NET相连的所有时钟元素放入组New_group中,是比较常用的时钟约束,INST表示例化该模块上的时钟元素放在改组中,PIN就表示能够从该PIN访问的所有时钟元素放入组中。TNM_NET和TNM的区别大致就在于TNM_NET是可以穿过IBUF进行约束的,TNM则不行。TIMESPEC表示时序规范。

这里外部的40M时钟和16M时钟衍生了后面需要一系列时钟,UG612中推荐对每个使用的时钟进行周期约束,如下图所示:

ise 时钟约束_ISE 时钟约束相关推荐

  1. ise 时钟约束_ISE时序约束笔记2——Global Timing Constraints

    问题思考本文引用地址:http://www.eepw.com.cn/article/269207.htm 单一的全局约束可以覆盖多延时路径 如果箭头是待约束路径,那么什么是路径终点呢? 所有的寄存器是 ...

  2. ise 时钟约束_ISE——ucf文件中时序约束

    摘要:本文主要通过一个实例具体介绍ISE中通过编辑UCF文件来对FPGA设计进行约束,主要涉及到的约束包括时钟约束.群组约束.逻辑管脚约束以及物理属性约束. Xilinx FPGA设计约束的分类 Xi ...

  3. ise 时钟约束_ISE 约束文件完整讲解

    ISE 约束文件的基本操作 1.约束文件的概念 FPGA设计中的约束文件有3类:用户设计文件(.UCF文件).网表约束文件(.NCF文件)以及物理约束文件(.PCF文件),可以完成时序约束.管 脚约束 ...

  4. ise 时钟约束_xilinx时序约束

    在进行FPGA的设计时,经常会需要在综合.实现的阶段添加约束,以便能够控制综合.实现过程,使设计满足我们需要的运行速度.引脚位置等要求.通常的做法是设计编写约束文件并导入到综合实现工具,在进行FPGA ...

  5. XDC约束技巧--时钟

    1.XDC \quadXDC本质上是tcl语言 1) 顺序很重要 \quad约束是一条一条执行的,后面输入的约束在有冲突的情况下会覆盖之前的约束(时序例外除外,由优先级决定) 2) 时钟域 \quad ...

  6. XDC约束技巧——时钟篇

    本文摘自<Vivado使用误区与进阶>,作者为Xilinx工具与方法学应用专家Ally Zhou. Xilinx©的新一代设计套件Vivado®中引入了全新的约束文件XDC,在很多规则和技 ...

  7. (53)多路时钟复用FPGA如何约束二(片内时钟复用约束)

    1.1 多路时钟复用FPGA如何约束二(片内时钟复用约束) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)多路时钟复用FPGA如何约束二(片内时钟复用约束): 5)结束 ...

  8. (52)多路时钟复用FPGA如何约束一(片外时钟复用约束)

    1.1 多路时钟复用FPGA如何约束一(片外时钟复用约束) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)多路时钟复用FPGA如何约束一(片外时钟复用约束): 5)结束 ...

  9. (36)虚拟时钟(中心对齐约束)

    (36)虚拟时钟(中心对齐约束) 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)虚拟时钟(中心对齐约束) 5)技术交流 6)参考资料 2 时序约束引言 1)什么是静态 ...

最新文章

  1. 利用合成图像对热图像进行鲁棒行人检测
  2. 螳螂捕蝉黄雀在后!地下黑客论坛免费远控木马被曝“后门”
  3. 卸载Office 2007 Beta2后,Outlook 2003无法启动的解决方法
  4. 深入了解C#系列:谈谈C#中垃圾回收与内存管理机制
  5. 国内是否可以安装alexa_Alexa可以听到您无法听到的命令,哪些黑客可以利用
  6. 新驾考科目三有四个地方易犯错 多名教练提供对策
  7. 比特币区块链如何运作?
  8. 【零基础学Java】—final关键字与四种用法(二十九)
  9. java使用mysql的escape遇到的坑
  10. poj 1251 Jungle Roads prim最小生成树基础
  11. 动态规划相关知识点总结
  12. 韦东山Linux嵌入式学习——硬件复习
  13. 管家婆打印报错——进程无法访问
  14. python中换页是干嘛的_python什么是转页符
  15. 游戏服务器为什么要选择高防服务器
  16. ios 纯代码怎么适配ipad_iPad横竖屏下的代码适配
  17. Centos7系统启动盘的正确安装姿势
  18. Sqlserver官网下载时各版本含义
  19. 【原创】解决windows命令行运行程序必须输入.exe后缀的问题
  20. 开源Cortex-M模拟器QEMU的使用方法

热门文章

  1. ax²+bx+c≡0 mod m 和 x²≡a mod p的解存在性分析
  2. 成功项目团队角色模型——Belbin团队角色模型(转)
  3. 阿里巴巴的员工工资待遇:工程师25万,专家80万,研究员百万
  4. Python finally的用法
  5. 粉末喷涂机器人行业调研报告 - 市场现状分析与发展前景预测(2021-2027年)
  6. JDK的可视化分享 (第11期) 20190321
  7. Z-Wave Networking Basics ZWAVE网络基础
  8. Android仿美团切换城市
  9. 乐优商城--服务(三) : 商品微服务(LyItemApplication)--前半部分
  10. Premiere Pro之效果控件(七)