1、modelsim经常遇到数据位宽不相等的情况,这样往往仿真时是不会出数据的,如果用parameter定义了数据的位宽,

要注意实际的位宽数大于parameter定义参数能表示的位宽时,如:

parameter WIDTH = 5'd46,要注意5位的数据宽度最大能表示的数是31,46已经溢出。

2、# ** Warning: (vsim-3015) F:/Company_Prj/Date201409/LowCost/ICS_MyPrj/Src/aic_fir_2ch_64x.v(182): [PCDPC] - Port size (48 or 48) does not match connection size (32) for port 'shiftin'. The port definition is at: F:/Company_Prj/Date201409/LowCost/ICS_MyPrj/Quartusii14.0/Core/y_shift_ip.v(42).

在aic_fir_2ch_64x.v文件中例化了一个IP核--y_shift_ip,但在例化过程中出现了位宽不相等的现象。如上行给出的警告:这句话是说我们生成的IP核是48位的,但是在例化过程中却是用32位来例化的。

3、Modelsim仿真时位宽不一致可能的原因:经过一番查找,发现在TCL中没有添加一些IP的文件,所以IP的设置更新后,库并不会相应的更新,而这个库又是以前生成的。

4、modelsim中经常会出现高阻,解决这种问题最笨的办法就是把所有的数据都拉出来,看下是那个数据最开始出现高阻状态,也可能是某些总线的某些位没有数据,这也是解决位宽不相等问题的一个好办法。

转载于:https://www.cnblogs.com/zhongguo135/p/3993809.html

modelsim仿真中遇到的问题相关推荐

  1. modelsim仿真中 do文件的写法技巧

    Modelsim之 DO文件简介 网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看.其实本人也刚接触DO文件没多久,有纰漏很正常,欢迎指正批评,互相学习.PS ...

  2. modelsim 仿真中出现Modele ~~~ is not defined

    在Quartus与modelsim联合仿真中如果有多个程序文件的情况下出现not defined 这样的错误,一般为顶层文件设置有误导致的,其他情况是文件名字写错,地址错误大家自个看着一改,我这就不写 ...

  3. matlab fbb,[求助]请教如何将modelsim仿真的输出结果导入到matlab中分析

    matlab可以与modelsim联合在线仿真 使用matlab生成激励源,使用modelsim来仿真vhd功能,然后matlab分析结果 贴一点代码吧: fdo文件内容 ############## ...

  4. modelsim/Questasim中添加xilinx ip库,并仿真成功

    在modelsim/Questasim中 仿真Xilinx IP的库 一共分为两步, 第一步如下,参考下面的博客,这一步必须添加. 由于vivado自带仿真工具运行速度较慢,有时候需要在modelsi ...

  5. quartusii中LPM_MULT使用的modelsim仿真

    在这里提一下,在使用modelsim仿真时,之前出现一个错误导致无法仿真,问题类似于这样: #         Region: /buff_test/uut/mac_fir1_inst # ** Er ...

  6. Vivado级联Modelsim仿真Re-launch问题

    Vivado级联Modelsim仿真出现修改设计代码后重新run do文件,波形没有随着代码修改而改变,这个问题博主之前没有注意到,因为把Vivado和Modelsim级联好后还没有试过仿真过,不过用 ...

  7. modelsim仿真正确FPGA运行不正确的可能原因 - cm4写寄存器错

    困住整整一周了,工作进行不下去,中午偶遇导师,指导意见是有两种可能: 1.  FPGA编译器优化代码,可以考虑把综合过程中所有的warning排查一下 2.  verilog里有不可综合的语句. 又及 ...

  8. 独立于三大FPGA平台采用modelsim仿真

    文章目录 背景 1.Modelsim对Alteral器件的独立仿真 1.1 对Quartus 的仿真 1.2 对quartus 独立仿真实际案例 1.3 保存波形文件 2.Modelsim对ISE X ...

  9. Vivado联合ModelSim仿真设置(附图步骤)

    目录 1.在Vivado中生成lib 2.生成库的选择 3.点击Compile,即可开始生成库文件 4.在Vivado中添加ModelSim调用设置 5.将Vivado的仿真库添加到ModelSim中 ...

最新文章

  1. python语言deLong‘s test:通过统计学的角度来比较两个ROC曲线、检验两个ROC曲线的差异是否具有统计显著性
  2. java实例方法,Java实例和静态方法
  3. 局部变量,静态局部变量,全局变量,静态全局变量在内存中的存放区别(转)...
  4. php数组中删除元素
  5. hubliderx如选择相同单词_高考英语,十六种高效单词记忆法,建议人手一份!
  6. java.线程池 线程数_如何在线程“ main”中修复异常java.lang.NoClassDefFoundError:Java中的org / slf4j / LoggerFactory...
  7. java clone 序列化_关于Java对象深度Clone以及序列化与反序列化的使用
  8. 模线性同余方程组求解
  9. C#创建ActiveX
  10. Python调用海康威视网络摄像头进行远程人脸识别
  11. 仿人机器人(五连杆、七连杆)拉格朗日动力学建模
  12. matlab中如何定义数组,matlab中如何定义一个数组为全局变量?
  13. linux双线双网卡双ip双网关设置方法,centos下双网卡双线双IP的配置方法
  14. Mac小技巧—如何查看 Mac 的关机和重启历史
  15. Oracle导入英文日期格式数据出现问题的解决
  16. 百分点大数据技术团队:BI嵌入式分析实践
  17. c语言写字符舞蹈,C语言实现舞伴问题
  18. kalman滤波纯纯纯纯纯纯理论推导
  19. GitHub 2020 报告:全球开发者工作与生活平衡情况年度分析
  20. 间歇性断食是什么 间歇性断食法怎样吃

热门文章

  1. 如果我要...(研究版)
  2. MaxScript 学习笔记【有转载】
  3. U3D 动态创建Prefab的多个实例
  4. centos7 hadoop 2.8安装
  5. 图解Linux系统启动流程
  6. Jsch ssh登陆
  7. Python 装饰器工作原理解析
  8. 基本数据结构之BinarySearchTree
  9. Python学习笔记之六:在VS中调用Python
  10. 紧迫感:在危机中变革