Windows 10

使用

进入控制台

iverilog -o Ex1 Ex_1_1_sim.v Ex_1.v
vvp -n Ex1 -lxt2
gtkwave wave1.vcd

问题

  1. vvp不产生.vcd波形文件

  2. vvp一直在产生波形文件,虽然可以在另一窗口用gtkwave观看,但波形文件体积一直在增大

对于解决办法

  1. 缺少对应的veriog代码
    在仿真文件中加入下面的代码,详情见参考1
initial
begin            $dumpfile("wave.vcd");        //生成的vcd文件名称$dumpvars(0, Ex_1_1_sim);    //tb模块名称,根据自己的情况修改
end
  1. 可能是缺少finish语句
initial #0 begin
#200000 $finish;
end

参考

  • (1) https://blog.csdn.net/marvellousbinary/article/details/79842347
  • https://www.bbsmax.com/A/MyJx27Ppdn/
  • https://blog.csdn.net/weixin_43031092/article/details/106658075
  • https://verilogguide.readthedocs.io/en/latest/verilog/testbench.html
  • https://people.ece.cornell.edu/land/courses/ece5760/Verilog/LatticeTestbenchPrimer.pdf

iverilog仿真问题相关推荐

  1. iverilog仿真vvp命令后无响应

    在Mac中配置了iverilog仿真一些小型.典型电路设计. 在.sh脚本内容如下: echo "开始编译" iverilog -o wave div_frq.v div_frq_ ...

  2. win10下使用iverilog仿真+gtkwave/WaveDrom查看波形

    简介 在win10环境下,使用iverilog进行简单的verilog编译仿真,使用gtkwave和WaveDrom查看仿真波形. iverilog for windows提供了iverilog和gt ...

  3. modelsim和vivado仿真不一致——噩梦debug

    昨天经历了恶梦debug,中间排了很多坑,特来记录一番. 一.问题描述 和队友写了lenet神经网络推理的硬件实现,在modelsim已经跑通,且验证了功能,但需要移植到vivado,利用里面的dis ...

  4. 傅里叶变换处理音频c++_KWS-SoC——基于Wujian100的音频流关键词检测SoC拓展开发笔记之一...

    Keyword Spotting(KWS, 关键词检测),目的是在一串连续的音频流中检测出预定义的词或者词组.在实际应用中,比如手机的智能助手,智能住宅里所支持的语音指令等,都需要用到关键词检测,当用 ...

  5. OpenFPGA系列(三) 运行设计流程demo

    文章目录 一.生成 Fabric 网表 1.1 准备 Task 配置文件 1.2 运行 Task 1.3 运行 icarus iVerilog 编译 二.从Verilog到 验证(Verificati ...

  6. iverilog搭建简易仿真平台

    iverilog搭建简易仿真平台 对于xsim和modelsim这种仿真测试平台,对操作系统要求过于严格,为了实现远程verilog编译仿真调试,我选择了linux+iverilog+gtkwave来 ...

  7. 记一下终于用iverilog搭建好仿真环境

    动机 一开始准备在linux系统里仿真的,看了非常多的教程,写的已经非常详细了,但是我这脑子还招架不住啊,最后转向在windows进行仿真,过程跟linux中其实是一样的,但是我电脑的linux系统始 ...

  8. iverilog+gtkwave 进行仿真

    2019独角兽企业重金招聘Python工程师标准>>> 边学边记录 #number;  为延时number秒 在initial中控制整个仿真的时间 例子: initial begin ...

  9. 搭建 Verilog 仿真环境

    搭建 Verilog 仿真环境 对于 Verilog 的初学者,有一个仿真环境是必要的.这就好比是刚开始接触 C 语言,连 C 语言的开发环境都没有,怎么学习 C 语言呢,难道靠大脑模拟运行吗? 本文 ...

最新文章

  1. Oracle EBS:Package被锁,执行时卡住的解决办法
  2. Android中Preference的使用以及监听事件分析
  3. (转载)Android性能优化典范
  4. java防止库存超买_java初探(1)之防止库存为负以及防超买
  5. 依次输入表(30,15,28,20,24,10,68,35,50)中的元素,生成一棵平衡的二叉排序树。请画出构造过程,并在其中注明每一次平衡化的类型(LL型、RR型、LR型、RL型)
  6. 如何通过调试的方式搞清楚Angular createEmbeddedView具体创建的UI元素是什么
  7. mysql 无法处理非法数据_MySQL 处理非法数据
  8. Python的基本语法汇总
  9. 思维导图MindManager:大脑思维发散和归纳的工具
  10. ncsi信号测试软件,模拟电路与数字电路的转换
  11. PostGIS教程十:空间连接
  12. [转载]华为老员工看华为(一):不断改进的海外市场
  13. 圣墟手游怎么在电脑上玩 圣墟PC版玩法教程
  14. UPS 不间断电源(Uninterruptible Power Supply)是什么?
  15. MT4电脑版如何下载
  16. 原生H5实现观音抽签祈福效果
  17. PPT设计制做关键提炼——Metro风格
  18. 一头扎进springboot学习笔记
  19. 【我的Latex学习之路】转载自:E喵的LaTeX新手入门教程(1)准备篇
  20. 需求调研报告模板_2020年全球TAC薄膜行业市场现状调研报告-国内需求增速超世界水平...

热门文章

  1. 深入理解RocketMQ Rebalance机制
  2. js实现3D旋转相册
  3. 产品开发中如何衡量产品价值,来做优先级排序?
  4. clock_gettime获取系统时间
  5. 开放 API 接口安全设计思路
  6. redis数据结构及其应用场景
  7. main map java_Java从入门到实战之(12)Map示例大全(一)
  8. 关于强类型语言和无(弱)类型语言——致编程入门者
  9. 人人都能看懂的Spring底层原理,看完绝对不会懵逼
  10. Golang和HTTPS在网站前端接入里的作用