代码:

// 约束引脚号
set_property PACKAGE_PIN F5 [get_ports {led_tri_o[0]}]
set_property PACKAGE_PIN E5 [get_ports {led_tri_o[1]}]
set_property PACKAGE_PIN G5 [get_ports {led_tri_o[2]}]
set_property PACKAGE_PIN G6 [get_ports {led_tri_o[3]}]// 约束电压
set_property IOSTANDARD LVCMOS15 [get_ports {led_tri_o[3]}]
set_property IOSTANDARD LVCMOS15 [get_ports {led_tri_o[2]}]
set_property IOSTANDARD LVCMOS15 [get_ports {led_tri_o[1]}]
set_property IOSTANDARD LVCMOS15 [get_ports {led_tri_o[0]}]

XDC 编写的语法

约束引脚号:set_property PACKAGE_PIN " 引脚编号 " [ get_ports “端口名称”]
约束电压: set_property IOSTANDARD " 电平标准 " [ get_ports “端口名称” ]

重点

通过原理图,查看LED与FPGA中实际引脚相连位置,然后看驱动该FPGA的Bank是多大的电压,如果是1.5V电压驱动,那就是LVCMOS15 ,如果是1.8V驱动,那就是LVCMOS18

解读

set_property:设置属性
IOSTANDARD :IO标准
LVCMOS15 :1.5V
get_ports :获取端口
led_tri_o[3]:第3个led端口
PACKAGE_PIN :引脚
F5 :引脚约束到F5

通俗理解

set_property IOSTANDARD LVCMOS15 [get_ports {led_tri_o[3]}]修改led_tri_o[3]的IO标准为1.5V

set_property PACKAGE_PIN G6 [get_ports {led_tri_o[3]}] 将led_tri_o[3]约束到G6引脚

vivado:解读xdc约束文件相关推荐

  1. FPGA Vivado XDC 约束文件编写方式语法笔记

    参考手册: UG625:https://china.xilinx.com/support/documentation/sw_manuals/xilinx14_7/cgd.pdf UG903:https ...

  2. 关于XDC约束文件,你需要知道的几点

    原文地址:http://xilinx.eetrend.com/d6-xilinx/blog/2016-06/10241.html 在ISE时代,使用的是UCF约束文件.从Vivado开始,XDC成了唯 ...

  3. 1,Xilinx Vivado XDC约束简介

    关于xilinx vivado FPGA XDC约束的所有讲解文档汇总:关于xilinxvivadoFPGAXDC约束的所有讲解文档汇总_vivado约束-嵌入式文档类资源-CSDN下载 在了解xil ...

  4. 2,xilinx vivado xdc约束语句的命令结构

    注:学习.交流就在博主的个人weixin公众号 "FPGA动力联盟" 留言或直接+博主weixin "fpga_start" 私信~  关于xilinx viv ...

  5. XDC约束技巧——CDC篇

    上一篇<XDC约束技巧之时钟篇>介绍了XDC的优势以及基本语法,详细说明了如何根据时钟结构和设计要求来创建合适的时钟约束.我们知道XDC与UCF的根本区别之一就是对跨时钟域路径(CDC)的 ...

  6. vivado ip xdc 和user xdc 编译顺序

    参考ug903 p12 报告约束编译顺序 report_compile_order - --------------------------我是分割线哈哈哈---------------------- ...

  7. 【ug903】Xilinx XDC约束的序(Order)

    "Because XDC constraints are applied sequentially, and are prioritized based on clear precedenc ...

  8. XDC约束技巧之CDC篇

    XDC约束技巧之CDC篇 本文摘自<Vivado使用误区与进阶>,作者为Xilinx工具与方法学应用专家Ally Zhou. 上一篇<XDC 约束技巧之时钟篇>介绍了 XDC ...

  9. 【 Vivado 】XDC文件的约束顺序

    由于XDC约束是按顺序应用的,并且基于明确的优先级规则进行优先级排序,因此必须仔细检查约束的顺序. 如果多个物理约束发生冲突,则最新约束将获胜. 例如,如果通过多个XDC文件为I / O端口分配了不同 ...

最新文章

  1. 清华大数据能力提升项目《数据分析方法》选课开始 !(附旁听名额)
  2. 异常处理原则--good
  3. UVa122-Trees on the level
  4. 数据库行转列的sql语句(zt)
  5. kafka 消息服务
  6. php inputcsv,php excel格式(csv)数据导入导出(示例)
  7. 037_自动添加防火墙规则,开启某些服务或端口(适用于 RHEL7)
  8. SAP License:再谈SAP系统发票校验的”事后借记”
  9. 交换机芯片笔记1.1
  10. 魔兽世界单机版(模拟器)入门介绍--Starting off with WoW Emulation. 翻译
  11. 微信小程序——订阅号和服务号区别
  12. scheme 中文教程
  13. C语言,C++,C#发展的回顾与展望
  14. 我心中有猛虎在细嗅蔷薇
  15. 斜体(oblique italic)
  16. 可变参数模板实现可变参数字符串一定程度替代sprintf
  17. 【本人秃顶程序员】美女程序员观点:程序员最重要的非编程技巧
  18. 读取excel中的表格数据到字典dict中--python
  19. MicroC实现Expr部分和for循环,无续算版本Comp.fs展示
  20. java基础-网络编程

热门文章

  1. [原创软件]考勤数据导出工具
  2. python——自学入门
  3. 正大国际期货:如何摆脱炒黄金期货被套单?
  4. Ruby + MSYS2安装
  5. 前端扒代码_怎么快速扒下来一个网站所有的前端页面?
  6. ipmitool工具的使用
  7. sql server 中不等于<>、!=忽略了NULL的数据,怎么解决
  8. [someip专题]vsomeip代码解析2
  9. python 对字典按照value进行排序
  10. 微信小程序代码开源啦