设计步骤:拟定指令系统:格式、寻址方式、指令类型设置
确定总体结构:寄存器、ALU、数据通路设置
安排时序:画流程图(寄存器传送级别)
拟定指令流程和微指令序列:列操作时间表。
形成控制逻辑:组:列逻辑式,形成逻辑电路
微:按微指令格式编写微程序。

指令系统
1.指令格式
指令长16位,采用寄存器寻址,指令中给出寄存器号。
2.寻址方式
CPU可编程访问的寄存器;
通用寄存器R、指令计数器PC、堆栈指针SP、程序状态字PSW
自减型寄存器间址

指令类型:

堆栈指针,指令计数器,程序状态字PSW
非编程寄存器
暂存器C:暂存来自主存的源地址或源数据
暂存器D:暂存来自主存的目的地址或目的数。
指令寄存器IR:存放现行指令。
地址寄存器MAR:
数据寄存器MBR:实现CPU与主存(外部设备)的接口。

算术逻辑运算部件(16位)
ALU: 
选择器:选择数据来源(CPU的输入部件);
移位器: 实现直接、左移、右移、字节交换
数据通路结构:
为了使数据传送控制简单、集中,采用以ALU为中心的总线结构。
(1)组成
包括四个部分:
ALU部件、寄存器组、内总线、CPU与系统总线。

模型机设计(学习笔记)相关推荐

  1. 数字IC设计学习笔记_静态时序分析STA_ PrimeTime 基本概述

    数字IC设计学习笔记 PrimeTime 基本概述 1. PrimeTime 基本概述 2. 运行模式 1. PrimeTime 基本概述 PrimeTime,Synopsys公司提出的,针对于复杂的 ...

  2. 多层高速PCB设计学习笔记(五)四层板实战(下)之阻抗控制计算(SI9000)

    系列文章目录 多层高速PCB设计学习(一)初探基本知识(附单层设计补充) 多层高速PCB设计学习笔记(二)基本设计原则及EMC分析 多层高速PCB设计学习笔记(三) GND的种类及PCB中GND布线实 ...

  3. 多层高速PCB设计学习笔记(三) GND的种类及PCB中GND布线实战

    系列文章目录 多层高速PCB设计学习(一)初探基本知识(附单层设计补充) 多层高速PCB设计学习笔记(二)基本设计原则及EMC分析 多层高速PCB设计学习笔记(三) GND的种类及PCB中GND布线实 ...

  4. 模型机设计(VERILOG)-模型机结构与Verilog语言

    前言 模型机是本学期电子电路课程的综合设计实验作业,主要利用数字电路逻辑部分的知识完成一个能实现多个指令的模型机,使用Verilog语言实现各个部件并完成最终的部件连接及验证.         在实现 ...

  5. KEIL 5.38的ARM-CM3/4 ARM汇编设计学习笔记3——串口Stdio实现

    KEIL 5.38的ARM-CM3/4 ARM汇编设计学习笔记3--串口Stdio实现 一.介绍 任务目标 二.工程创建 三.软件设计 第一步,BSP构建 1, 添加前面的pll_config文件 2 ...

  6. 湖南大学_数电实验_模型机设计_CPU设计_verilog_课程实验报告

    本学期的数电课程实验就是模型机设计,由四次小实验构成,最后一次实验验收要求使用quartus做出一个模型机. 该实验的重要性:该实验的核心在于基于实验指导书设计CPU,从而帮我们理解CPU的工作原理. ...

  7. 计算机组成原理模型机设计实验总结,计算机组成原理课程设计实验报告-基本模型机设计与实现.docx...

    计算机组成原理课程设计实验报告-基本模型机设计与实现 计 算 机 组 成 原 理 实 验 报 告 评 语: 成绩 教 师: 年 月 日 班 级: 1403011 学 号: 140301124 姓 名: ...

  8. 计算机组成原理复杂模型机设计思路,计算机组成原理实验报告基本模型机和复杂模型机的设计...

    计算机组成原理实验报告基本模型机和复杂模型机的设计 基本模型机设计一设计目的1在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台稍微复杂的模型计算机:2为其定义5条机器指令,并编写相应的微程序 ...

  9. 计算机模型机设计实验报告,计算机组成原理课程设计实验报告-基本模型机设计与实现...

    计算机组成原理课程设计实验报告-基本模型机设计与实现 (12页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.90 积分 计 算 机 组 成 原 理实 ...

  10. 计算机系统 cpu课程,片上计算机系统课程设计CPU模型机设计.docx

    片上计算机系统课程设计CPU模型机设计.docx 哈尔滨理工大学 软件学院 课程设计报告 课 题 班 专 学 学 程片上计算机系统 目CPU模型机设计 级集成14-2班 业集成电路设计与集成系统 生 ...

最新文章

  1. 关于安卓版的eclipse连接数据库并誓言增删改查
  2. android 自定义扫地机器人地图,扫地机如何正确操作进行APP建图?
  3. python pip安装解决方法
  4. iOS5.1 + XCode4.5 device debug,the screen will ...
  5. 【Java8精华教程】一起爪哇Java8——好用的Stream
  6. 爬虫采集-基于webkit核心的客户端Ghost.py [爬虫实例]
  7. 数据库——startup,startup mount,startup nomount有什么区别
  8. linux centos7不能ssh免密登录自己的问题解决
  9. Android判断一个Service是否运行
  10. 苹果发布新手机,会有多少国人支持呢?
  11. 大数据 java 代码示例_Java变量类型与示例
  12. 07.用户控件弹窗提示后页面显示不正常;
  13. FMEA-MSR 步骤五:风险分析(一)
  14. oracle应收模块报表,OracleERPEBS应收模块AR概要培训ppt课件
  15. oracle自学OCA,上海学习oracle OCA
  16. ipynb文件转py文件
  17. 为什么计算机连接不上打印机,为什么电脑连接打印机后却没反应
  18. 24点纸牌游戏,c语言实现
  19. Image Denoising State-of-the-art
  20. vue中如何加入横线_vue

热门文章

  1. PS(一)PS基础从0开始,感兴趣的可以来看看
  2. google论文--mapred中文翻译
  3. Luogu P3054 [USACO12OPEN]跑圈Running Laps
  4. Jam 计数法 思路很清
  5. 我们都进入了一个误区,其实面试官 “ 回去等通知 ” 不等于没戏....
  6. matlab合理分配席位_走进民航一线——首都机场机位分配员的24小时
  7. BUUCTF_Crypto题目:rot
  8. DFS(深度优先搜索)学习笔记(C语言版本)
  9. 【HTML+CSS】博客系统(页面设计)
  10. ADATE320介绍