• 序列发生器构成有两种形式

    • 计数器+组合逻辑电路(译码器/多路选择器)
    • 移位寄存器构成的最大长度序列发生器
    • 74161是计数器,选第一种
  • 产生1101000101序列的计数型序列信号发生器

    • 确定模值,序列长度为10,需要模十计数器
    • 计数方式:OC置数法,从1111往上倒数十位, 15-X+1=10解得X=6=0110,准备放置的数为0110
    • 确定组合逻辑,0110对应输出状态1一直到1111输出状态1。
    • 8选1MUX为74151,确定计数器的QDQBQA端连接到八选一的A2A1A0端
    • 根据数据选择器的工作原理,需要在D7~D0端提前准备好数据。列出QDQBQA的可能取值从010到111,其中100到111重复了两遍,这时可以借助QC端数据来确定。未用到端口如000对应D0和001对应D1取值任意。

  • 代入检查是否能自启动,仿真波形如图所示,计数器第2轮计数从6开始计到15,输出Z也和题目对应上了

  • 再举一例长度为12的序列发生器,计数器型号163,同步清零不需要中间状态,故当计数器从0000开始数到11=1011时可以给清零端提供信号。利用QDQCQB连接到151的CBA端作为数据选择的输入信号。长度12即有12个不同状态,但八选一只能提供8个状态选择,咋办。利用QA也参与决定状态输出则12个状态可以缩小为6个,这样就满足了小于8个状态的要求。两个000为一组均输出1,两个001为一组均输出0,两个010为一组输出值与QA相同,故直接将QA连到D2,以此类推。这样就可以看出从0000开始计数就比上面从1111倒着往回数的方法描述状态更简洁,上面还跳了一个QC。

  • 学数电一直很讨厌状态的描述,觉得罗里吧嗦的。我快速讲下大概意思,能明白即可。

quartus仿真34:74161构成长度为10的序列发生器相关推荐

  1. quartus仿真系列0:基于原理图仿真的基本操作

    翻一本数电书时,无意发现利用maxplus2原理图来仿真设计,唤起了在上数电实验课时的一个设想,利用quartus仿真时序电路的波形图,这样更加直观清晰(不用我手画了).我们数电课上只教了利用VHDL ...

  2. 要求产生10个随机的字符串,每一个字符串互相不重复,每一个字符串中组成的字符(a-zA-Z0-9) 也不相同,每个字符串长度为10;

    import java.util.ArrayList; import java.util.HashSet; import java.util.List; import java.util.Set; / ...

  3. 【EDA】EDA技术Quartus仿真步骤(图表仿真)

    Quartus仿真步骤(图表仿真)

  4. 【Java】数组逆序操作。定义长度为10的数组,将数组元素对调并输出对调后的结果。

    题目:数组逆序操作.定义长度为10的数组,将数组元素对调并输出对调后的结果. 思路:把0索引和arr.length-1的元素交换,把1索引和arr.length-2元素交换--只要交换到arr.len ...

  5. quartus仿真5:74194构建模8扭环形计数器

    利用quartus仿真时还有一个好处,思考的同时可以避免睡觉.上数电课时老师拿着激光笔在PPT上的波形图指来指去,一下就睡着了.下午三节数电课,通常可以说睡两节半课. 环型计数器只有四个有效状态,其他 ...

  6. 输入一个整数作为随机函数种子,随机生成5个不同的长度为10的激活码。

    题目 输入一个整数作为随机函数种子,随机生成5个不同的长度为10的激活码. 要求1:如果用户输入的不是整数,需要异常处理并进行提示. 要求2:使用随机生成时,生成数字概率为1/5,大写字母和小写字母概 ...

  7. c语言数组长度可以在键盘上输入吗,C语言编程(用指针) 定义一个数组长度为10,从键盘输入数组,将每一个元素除以2后,输出数组...

    #includeint main(){int a[10]={},i,sum=0;printf("请输入10个整数:\n");for(i=0;i<10;i++){scanf(& ...

  8. java编程10以内随机数字乘除_随机生成10个长度为10以内的字符串并排序(Java入门第三季集合下作...

    作业要求: 实现代码: package JiHe; import java.util.List; import java.util.Collections; import java.util.Arra ...

  9. quartus仿真9:74161基本功能

    对应西电数电慕课从14讲集成计数器到15讲分频器 同步级联与异步级联 同步级联RCO1和P2T2相连,当Q3Q2Q1Q0=1111时RCO1=1则Q4=1 异步级联RCO1'=CLK2,毛刺应该造成了 ...

  10. quartus仿真31:74161的级联

    74LS161是模16(四位二进制)同步集成计数器,异步清零 一张功能表时刻准备查询 异步级联方法:将前一计数器的输出作为后一级计数器的时钟信号 两片161所需要的时钟不同称为异步 前一级计数器的进位 ...

最新文章

  1. 设计模式之外观模式(Facade)摘录
  2. c++网络通信(与服务器通信聊天)和c#网络通信
  3. 2015-4-20 BAV推广页面修改前后对比-安全网购
  4. 眉骨高者为大贵之相_什么样才算富贵相?曾国藩的识人秘诀(组图)
  5. 您已到达6300千米深度,速度8 公里/秒,正在穿过地心 [置顶]
  6. Mac 下配置XAMPP
  7. 093-PHP数组比较
  8. Java多线程(三) 多线程间的基本通信
  9. matlab 相位谱是直线,matlab幅度谱和相位谱
  10. 做机器学习算法工程师是什么样的工作体验?
  11. 计算机常用算法程序设计案例教程,计算机常用算法与程序设计案例教程
  12. Xenu软件检查网站死链接
  13. 3月股权激励团队管理内容回顾
  14. springboot-security安全登录
  15. JS切割截取字符串方法总结
  16. SQL中with as用法
  17. 关于dlna开发使用的若干故障排除
  18. 百度云大文件网页直接下载
  19. 宝付用心守护群众的钱袋子
  20. Java实现注册时发送激活邮件验证

热门文章

  1. Hadoop安装教程(Hadoop3.3.1版本),centos7系统,避免踩坑
  2. ROS学习—【在solidworks环境中将六自由度机械臂转换为URDF模型】
  3. 简单易学的机器学习算法——极限学习机(ELM)
  4. Maven详细安装教程
  5. 三星魔术师软件安装:检测到漏洞 此程序将被关闭
  6. 数据分析--优化模型
  7. 啊哈C语言-20220823学习练习
  8. Selenium3 Java自动化测试完整教程
  9. C++中的万能头文件
  10. win10未能连接网络连接到服务器,win10以太网无法连接网络解决办法