运行界面:

1、电子原件

在组的栏目中还可以选择basic(常用元器件,有电阻,电容,电感等)、diode(二极管)、transistor(晶体管)等。

2、电子仪器:

双击电表,可以选择类型,双击示波器,可以选择参数

想要运用多个电表,可以点击电表,ctrlC + ctrl V。
3、仿真:
(1)接入电子元件
(2)连线
(3)打开电子仪器
(4)点击仿真

4、探针检测

在仿真栏目中有仪器栏目,其中有探针选项。探针可以检测电流或电压

出现以下界面:

从中可以看到探针测量到的值

5、示波器多个通道
让两个通道的颜色不同加以区分

结果如下:

6、受控源

6、符号转置
选中电子元件,ctrl+R电子元件转置

7、
ctrl+鼠标滚轮=上下移动
ctrl+Shift+鼠标滚轮=左右移动

Multisim基本电路仿真相关推荐

  1. multisim连接MySQL_首次使用Multisim软件进行电路仿真设计

    第一次接触使用Multisim进行电路仿真设计,通过使用这款软件,从中也学习到了很多东西,在这里想简单介绍一下这款软件的最主要也是最重要的功能和特点.创建电路,必定要放置元器件,这就需要用到元器件工具 ...

  2. ewb文件用multisim打开_MULTISIM(EWB)电路仿真实例文件打包

    [实例简介] MULTISIM(EWB)电路仿真实例文件打包 [实例截图] [核心代码] 1867ea12-47ef-4a0e-9b93-eb6c54f35937 ├── Multisim9文件 │  ...

  3. [运放滤波器]3_反相同相比例放大电路_Multisim电路仿真

    [运放滤波器]3_反相同相比例放大电路_Multisim电路仿真 [运放滤波器]2_运放反馈原理 [运放滤波器]1_理想运放_虚短虚断 这篇介绍的是反相同相比例方法电路的理论计算和Multisim的电 ...

  4. Multisim添加Spice模型

    前言 在用Multisim进行电路仿真的时候,可能会遇到Multisim中没有所需元件模型的情况,这时候就需要自己添加元件的Spice模型. 具体操作可参考(有先后顺序): [1]multisim自定 ...

  5. 74ls175四人抢答器电路图_四人抢答器电路设计.doc

    四人抢答器电路设计 课程设计说明书 题 目: 四人抢答器电路设计 课程名称: 数字电子技术 学 院: 电子信息与电气工程学院 学生姓名: 裴雷雨 学 号: 20110201011 专业班级: 自动化2 ...

  6. 数字电子技术课程设计——盲人报时钟

    数字电子技术课程设计 盲人报时钟 任务书 0.1  设计课题 盲人报时钟 0.2  设计目的 (1)     掌握盲人报时钟的设计.组装和调试方法. (2)     掌握声响模块的设计. 0.3  设 ...

  7. 郭惠,吴迅.单片机c语言程序设计完全自学手册出版时间,(中北大学电气信息检索与写作实验二.doc...

    (中北大学电气信息检索与写作实验二 实验名称计算机信息检索和利用实验日期2014-11-1班 级学号1205044115学生姓名闫强实验成绩实验 目的1.熟悉信息.知识.情报和文献的联系和区别:区分信 ...

  8. 自动化与我——记本科四年的得与失

    每到毕业,必然要感叹一下时光流转,匆匆向前.疫情也不曾冲淡这种感慨. 四年的大学生活改变了我很多,有收获也有教训.但终归是让我满意的.我觉得有必要在这里写下一些文字来安放这段记忆. 那年高考,我比一本 ...

  9. Multisim调幅和解调电路仿真实验

    实验目的及任务 熟悉三类调幅(AM.DSB.SSB)的基本原理,设计AM.DSB仿真电路,观察输出波形. 实验原理 (1)常规调幅AM 振幅调制就是用调制信号去控制高频率的载波信号,使载波的振幅随调制 ...

最新文章

  1. JAVA入门[17]-ControllerAdvice处理exception
  2. 终生受用的十大经典管理理论
  3. 操作系统:第三章 内存管理2 - 详解虚拟内存,页面置换算法,页面分配策略
  4. Redis数据库(一)——Redis简介、部署及常用命令
  5. 学汉语、来云栖、海外布道阿里云……这位印度架构师不一般
  6. JavaScript:语言精粹
  7. Render Monkey中可渲染纹理的Clear Color
  8. WAN killer
  9. 从零搭建美团饿了么外卖红包CPS小程序教程
  10. USB大容量存储设备浅析
  11. c语言中的数据类型作用,C语言数据类型是什么?
  12. 头条学院-新媒体训练营第10期 | 10.23笔记(新媒体:一代人的机遇)
  13. 盘古石杯全国电子数据取证大赛晋级赛wp(未完)
  14. 数据治理系列:浅谈数据质量管理
  15. 蕊蕊吃糖2870 C语言
  16. python使用pika操作rabbitmq总结(一)
  17. HT74153 6V/2A/1.2MHz 同步降压转换器 IC
  18. js ||(双竖线) ??(双问号) ?.(问号点) 等符号的用法
  19. 系统分析师备考经验分享
  20. 深度剖析:波士顿机器人翻个“筋斗”有多难?

热门文章

  1. 低功耗产品ADC精确测量电池电压(电量)
  2. linux命令引用,Linux下nl命令的用法详解
  3. uni-app学习笔记(一):HbuildX+uni-app搭建小程序项目
  4. 【git学习】git clone 出错 error:1407742E:SSL routines:SSL23_GET_SERVER_HELLO:tlsv1 alert protocol version
  5. 强化学习 Reinforcement Learning(三)——是时候用 PARL 框架玩会儿 DOOM 了!!!(下)
  6. RSS从入门到精通(转载)
  7. 用PyOpenGL叩开3D的心扉——OpenGL全解析(2)
  8. 电力圈大佬再次“华山论剑”:如何构建以新能源为主体的新型电力系统?
  9. 如何用建好的stm32模板来创建Free-Rtos系统
  10. RK3588-ROCK5B上手体验