DY-SV17F模块模式分为I/O组合模式和I/O独立模式,每种模式下又有两种方式——按键触发模式和电平触发模式,低电平有效。

注:

  1. 按键触发是指低电平触发后随即释放电平,类似于按键按下后弹起,故称为按键触发。
  2. 电平触发是指低电平触发后持续低电平,释放电平后立即停止触发,故称为电平触发。

I/O组合模式可以选择播放255首曲目,以8个I/O输出电平的不同组合形式实现;

I/O独立模式可以播放8首曲目,分别由8个I/O单独控制。

本篇只介绍独立模式:

独立模式又分为:

独立模式0 (按键触发)

独立模式1 (电平触发)

独立模式0 接线图:

 独立模式1 接线图:

 独立模式0(按键触发)与 独立模式1(电平触发)的差别在于:

  1. 按键触发只需要按键按下(低电平)然后松开,然后就会播放一次曲目;如果一直是低电平,就会一直循环播放。
  2. 电平触发是给单片机一个低电平就会一直循环播放。

---------------------------------------------------分界线-------------------------------------------------------

操作过程:

一、去一些语音合成网站合成自己想要的语音

这里提供一个免费网址:文字转语音_免费在线语音合成 - IT工具网

二、把已经下载好的语音存进SV17F语音播放模块

1.通过USB数据线连接模块

2. 把下载的语音文件放进来

三、按上面的接线图接线(模式0 或 模式1 )

        模块可直接驱动 4Ω,3~5W 喇叭,在选择喇叭的时候需要注意。

四、代码部分

#include <REGX52.H>sbit SV = P1^0;void main()
{while(1){SV = 0;      //给一个低电平触发,接SV17F模块IO4口,对应00005音频文件。}                    //或者接IO2口,对应00003音频。}

音频对应模块IO口关系:

00001——IO0;

00002——IO1;

00003——IO2;

....

....

00008——IO7;

觉得可以放的曲目太少可以移步第二篇:

SV17F进阶版 https://blog.csdn.net/qq_51272949/article/details/121961807

SV17F资料下载:

百度云链接:百度网盘 请输入提取码
提取码:1111

DY-SV17F语音播放模块应用篇一 【IO独立模式】相关推荐

  1. DY-SV17F语音播放模块应用篇二 【UART 串口模式】

    UART 串口模式 是采用波特率为9600的全双工串口通信. 语音模块做为从机处理,上电默认等待状态,所有播放操作全由主机控制. 从机不会主动发起通信,所有通信都是由主机发起. 串口模式接线图: 使用 ...

  2. Arduino案例实操 -- 语音播放模块(DY-SV5W)

    案例主控板如不做特殊说明的话,均默认是Arduino UNO控制板. 本次实验以UNO发送串口协议控制语音播放器播放歌曲,语音播放模块选型DY-SV5W. 文章标题导航 一.硬件选择 1. Ardui ...

  3. MP3-TF-16P语音播放模块——C51串口控制程序

    模块 VCC_电源5V GND_电源地 RX_ TX_ SPK1/SPK2为喇叭两个引脚 由于程序是对串口进行配置,故其他引脚的连接不过多陈述 程序 MAIN文件 /***************** ...

  4. 互联网晚报 | 1月16日 星期日 | 微信新增语音播放暂停功能;小米MIUI“纯净模式”上线;全国首例“刷单案”宣判...

    ‍ 今日看点 ✦ 全国首例"刷单"涉不正当竞争案一审宣判:我爱网赔偿百度205万元 ✦ 微信iOS版本更新至8.0.17,新增功能支持语音暂停后继续播放 ✦ 微博网页版上线无障碍模 ...

  5. HaaS100 云端钉一体智能语音播放器设计

    1.方案介绍 本文主要介绍如何基于HaaS100硬件平台搭建"云端钉一体"(阿里云IoT平台 + HaaS100 + 钉钉小程序)的智能语音播放器(以下简称智能语音播放器).该智能 ...

  6. 51单总线控制SV-5W语音播报模块

    单总线控制SV-5W语音播报模块 SV-5W语音播报模块 SV-5W语音播报模块简介 工作模式说明 模块配置 接线 驱动 部分代码 效果展示 SV-5W语音播报模块 SV-5W语音播报模块简介 DY- ...

  7. 多多云手机【语音引流】创新吸粉模式 -- 语音播放功能模块开发教程

    多多云手机[语音引流]创新吸粉模式,接口完全免费开放给开发者,可用于第三方APP语音引流软件开发,互联网引流等等,例如微商语音吸粉.游戏语音推广.应用喊话推广,替代传统枯燥的文字引流方法,自动化的操作 ...

  8. Arduino 单总线驱动音频播放模块DY-SV 5W示例(MP3)

    只需要一根数据线,即可操控这个语音播放模块拉. #define Pin_MP3 26#define CLEAR 0x0A //清零数字 #define MUSIC_SELECT 0x0B //选取确认 ...

  9. android智能语音播放器,android IM模块-语音-播放篇1

    MediaPlayer MediaPlayer是我们经常用的一个音频播放类 创建的方式有很多种,可以直接new一个,也可以直接调用MediaPlayer.creat(xxx) 常用API有如下 voi ...

最新文章

  1. 喂~讲真~我很讨厌chrome谷歌浏览器的默认填充输入框input样式咧,敲击讨厌滴啦,怎么去掉介个样式尼
  2. 为什么电脑磁盘从C盘开始,之前的A盘和B盘呢?
  3. windbg调试命令
  4. 随笔:写给我深爱的球队
  5. linux date输出到文件,Linux常用命令--ls、cd、date用法
  6. JS中的数据类型(见《Jquery实战附录》)
  7. monty python读音-Monty Python
  8. UNIX文件系统概述
  9. PE制作-004.UEFI和Legacy双启动之修改定制Win10PE
  10. Java互联网医院源码,以互联网方式整合优质医生资源,为患者提供MDT多学科会诊、专家咨询、复诊配药等服务。
  11. matlab求取状态方程的传递函数并实现系统解耦
  12. pm2部署node项目
  13. sqlserver 2000 sp3补丁
  14. CSS(层叠样式表(Cascading Style Sheets))历史
  15. 【NVMe2.0b 3】NVM 控制器架构模型
  16. 按照ID降序排列方法
  17. 群晖服务器共享文件忘记密码,群晖synology NAS ds 1815+忘记google authenticator二次验证密码...
  18. 操作系统-复习-考题预测及解析-期中考试
  19. Codeforces 416C Booking System
  20. 【shell】test用法

热门文章

  1. python 对角矩阵_numpy创建单位矩阵和对角矩阵的实例
  2. mac 更换jupyter的默认启动浏览器
  3. 【算法leetcode每日一练】804. 唯一摩尔斯密码词
  4. (java毕业设计)OA办公系统(附源码+论文)
  5. 什么是JPA、JPA的基础实战
  6. nodejs中解决压缩包乱码
  7. Git 本地与远程仓库同步操作
  8. 关于Linux下文件删除文件时提示No such file or directory的解决办法
  9. Clion编辑器的学习与实践之完成stm32F103点亮LED的程序
  10. ACM-ICPC 2018 沈阳赛区网络预赛 G. Spare Tire