一 pwm 驱动程序

位置: 内核/drivers/char/mini2440_pwm.c

代码注解

#include <linux/module.h>
#include <linux/kernel.h>
#include <linux/fs.h>
#include <linux/init.h>
#include <linux/delay.h>
#include <linux/poll.h>
#include <asm/irq.h>
#include <asm/io.h>
#include <linux/interrupt.h>
#include <asm/uaccess.h>
#include <mach/regs-gpio.h>
#include <mach/hardware.h>
#include <plat/regs-timer.h>
#include <mach/regs-irq.h>
#include <asm/mach/time.h>
#include <linux/clk.h>
#include <linux/cdev.h>
#include <linux/device.h>
#include <linux/miscdevice.h>

#define DEVICE_NAME     "pwm"                        //设备名 pwm

#define PWM_IOCTL_SET_FREQ   1                 //定义宏常量,用于后面的ioctl中的switch case
#define PWM_IOCTL_STOP    2

static struct semaphore lock;                            //定义信号量 lock

/* freq: pclk/50/16/65536 ~ pclk/50/16                      
* if pclk = 50MHz, freq is 1Hz to 62500Hz
* human ear : 20Hz~ 20000Hz
*/
static void PWM_Set_Freq( unsigned long freq )            //设置pwm的频率,配置各个寄存器
{
unsigned long tcon;
unsigned long tcnt;
unsigned long tcfg1;
unsigned long tcfg0;

struct clk *clk_p;
unsigned long pclk;

//set GPB0 as tout0, pwm output              设置GPB0为tout0,pwm输出
s3c2410_gpio_cfgpin(S3C2410_GPB0, S3C2410_GPB0_TOUT0);

tcon = __raw_readl(S3C2410_TCON);           //读取寄存器TCON到tcon
tcfg1 = __raw_readl(S3C2410_TCFG1);        //读取寄存器TCFG1到tcfg1
tcfg0 = __raw_readl(S3C2410_TCFG0);        //读取寄存器TCFG0到tcfg0

//prescaler = 50
tcfg0 &= ~S3C2410_TCFG_PRESCALER0_MASK;  // S3C2410_TCFG_PRESCALER0_MASK定时器0和

//   1的预分频值的掩码,TCFG[0~8]

tcfg0 |= (50 - 1);   // 预分频为50

//mux = 1/16
tcfg1 &= ~S3C2410_TCFG1_MUX0_MASK;     //S3C2410_TCFG1_MUX0_MASK定时器0分割值的掩

//码:TCFG1[0~3]
tcfg1 |= S3C2410_TCFG1_MUX0_DIV16;         //定时器0进行16分割

__raw_writel(tcfg1, S3C2410_TCFG1);                  //把tcfg1的值写到分割寄存器S3C2410_TCFG1中
__raw_writel(tcfg0, S3C2410_TCFG0);                  //把tcfg0的值写到预分频寄存器S3C2410_TCFG0中

clk_p = clk_get(NULL, "pclk");                                    //得到pclk
pclk = clk_get_rate(clk_p);
tcnt = (pclk/50/16)/freq;                                             //得到定时器的输入时钟,进而设置PWM的调制频率

__raw_writel(tcnt, S3C2410_TCNTB(0));        //PWM脉宽调制的频率等于定时器的输入时钟   
__raw_writel(tcnt/2, S3C2410_TCMPB(0));    //占空比是50%
    
tcon &= ~0x1f;
tcon |= 0xb;   //disable deadzone, auto-reload, inv-off, update TCNTB0&TCMPB0, start timer 0
__raw_writel(tcon, S3C2410_TCON);

tcon &= ~2;    //clear manual update bit
__raw_writel(tcon, S3C2410_TCON);               //把tcon写到计数器控制寄存器S3C2410_TCON中
}

void PWM_Stop( void )
{
s3c2410_gpio_cfgpin(S3C2410_GPB0, S3C2410_GPB0_OUTP);   //设置GPB0为输出
s3c2410_gpio_setpin(S3C2410_GPB0, 0);                                       //设置GPB0为低电平,使蜂鸣器停止
}

static int s3c24xx_pwm_open(struct inode *inode, struct file *file)
{
if (!down_trylock(&lock))                                      //是否获得信号量,是down_trylock(&lock)=0,否则非0
   return 0;
else
   return -EBUSY;                                                      //返回错误信息:请求的资源不可用
}

static int s3c24xx_pwm_close(struct inode *inode, struct file *file)
{
   up(&lock);                                                         //释放信号量lock
    return 0;
}

/*cmd 是1,表示设置频率;cmd 是2 ,表示停止pwm*/
static int s3c24xx_pwm_ioctl(struct inode *inode, struct file *file, unsigned int cmd, unsigned long arg)
{
switch (cmd) {
   case PWM_IOCTL_SET_FREQ:                            //if cmd=1 即进入case PWM_IOCTL_SET_FREQ

if (arg == 0)                                                          //如果设置的频率参数是0
     return -EINVAL;                                                  //返回错误信息,表示向参数传递了无效的参数
    PWM_Set_Freq(arg);                                         //否则设置频率
    break;

case PWM_IOCTL_STOP:                                 // if cmd=2 即进入case PWM_IOCTL_STOP
    PWM_Stop();                                                     //停止蜂鸣器
    break;
}

return 0;                                                              //成功返回
}

/*初始化设备的文件操作的结构体*/
static struct file_operations dev_fops = {
    .owner   =   THIS_MODULE,
    .open    =   s3c24xx_pwm_open,
    .release =   s3c24xx_pwm_close,
    .ioctl   =   s3c24xx_pwm_ioctl,
};

static struct miscdevice misc = {
.minor = MISC_DYNAMIC_MINOR,
.name = DEVICE_NAME,
.fops = &dev_fops,
};

static int __init dev_init(void)
{
int ret;

init_MUTEX(&lock);                     //初始化一个互斥锁
ret = misc_register(&misc);          //注册一个misc设备

printk (DEVICE_NAME"/tinitialized/n");
    return ret;
}

static void __exit dev_exit(void)
{
misc_deregister(&misc);                   //注销设备
}

module_init(dev_init);
module_exit(dev_exit);
MODULE_LICENSE("GPL");
MODULE_AUTHOR("FriendlyARM Inc.");
MODULE_DESCRIPTION("S3C2410/S3C2440 PWM Driver");

1 计数器控制寄存器

1)配置定时器输入时钟

TCFG0-时钟配置寄存器0,用于获得预分频值(1~255)

TCFG1-时钟配置寄存器1,用于获得分割值(2,4,8,16,32)

定时器输入时钟频率=PLCK/{预分频+1}/{分割值}

2)配置PWM的占空比

TCNTB0-定时器0计数缓存寄存器 ,是由定时器的输入时钟分频得到,是脉宽调制的频率

TCMTB0-定时器0比较缓存寄存器 ,用于设定PWM的占空比 ,寄存器值为高定平的

假设TCNTB0的频率是160,如果TCMTB0是110,则PWM在110个周期是高定平,50周期是低电平,从而占空比为11:5

3)定时器控制寄存器TCON

TCON[0~4]用于控制定时器0

2.__raw_readl和__raw_writel

读端口寄存器用__raw_readl(a ),该函数从端口a 返回一个32 位的值。相关的定义在include/asm-arm/io.h 中。#define __raw_readl(a) (*(volatile unsigned int*)(a)),写端口寄存器用__raw_writel(v,a),该函数将一个32 位的值写入端口a 中。相关的定义在include/asm-arm/io.h 中。#define __raw_writel(v,a) (*(volatile unsigned int*)(a) = (v))。此处设置功能控制寄存器,将相应的引脚设为输出状态。

3 .gpio操作

gpio_cfgpin 配置相应GPIO口的功能

gpio_setpin IO口为输出功能时,写引脚

4 基于信号量的Llinux 的并发控制

在驱动程序中,当多个线程同时访问相同的资源时,可能会引发“竞态”,因此必须对共享资源进行并发控制。信号量(绝大多数作为互斥锁使用)是一种进行并发控制的手段(还有自旋锁,它适合于保持时间非常短的时间)。信号量只能在进程的上下文中使用。

void init_MUTEX(&lock)初始化一个互斥锁,即他把信号量lock设置为1

void up (&lock) 释放信号量,唤醒等待者

int down_trylock(&lock) 尝试获得信号量lock ,如果能够立刻获得,就获得信号量,并返回为0.否则返回非0.并且它不会导致休眠,可以在中断上下文中使用。在PWM中,当计数值溢出时,就会引发计数中断。所以在这里用这个函数来获得信号。

二 PWM的测试函数

pwm_test.c代码注释

#include <stdio.h>                     //标准输入输出定义
#include <termios.h>                  //POSIX终端控制定义
#include <unistd.h>                     //Unix 标准函数定义
#include <stdlib.h>                      //标准函数库定义

#define PWM_IOCTL_SET_FREQ   1
#define PWM_IOCTL_STOP    2

#define ESC_KEY   0x1b               //定义ESC_KEY 为ESC按键的键值

static int getch(void)                    //定义函数在终端上获得输入,并把输入的量(int)返回
{
struct termios oldt,newt;             //终端结构体struct termios
int ch;

if (!isatty(STDIN_FILENO)) {                       //判断串口是否与标准输入相连
   fprintf(stderr, "this problem should be run at a terminal/n");           
   exit(1);
}
// save terminal setting
if(tcgetattr(STDIN_FILENO, &oldt) < 0) {        //获取终端的设置参数
   perror("save the terminal setting");
   exit(1);
}

// set terminal as need
newt = oldt;
newt.c_lflag &= ~( ICANON | ECHO );                    //控制终端编辑功能参数ICANON 表示使用标准输入模

//式;参数ECH0表示显示输入字符
if(tcsetattr(STDIN_FILENO,TCSANOW, &newt) < 0) {   //保存新的终端参数
   perror("set terminal");
   exit(1);
}

ch = getchar();

// restore termial setting
if(tcsetattr(STDIN_FILENO,TCSANOW,&oldt) < 0) {           //恢复保存旧的终端参数
   perror("restore the termial setting");
   exit(1);
}
return ch;
}

static int fd = -1;
static void close_buzzer(void);
static void open_buzzer(void)                   //打开蜂鸣器
{
fd = open("/dev/pwm", 0);                       //打开pwm设备驱动文件
if (fd < 0) {
   perror("open pwm_buzzer device");
   exit(1);                                                  //打开错误,则终止进程。退出参数为1
}

// any function exit call will stop the buzzer
atexit(close_buzzer);                             //退出回调close_buzzer
}

static void close_buzzer(void)                       //关闭蜂鸣器
{
if (fd >= 0) {
   ioctl(fd, PWM_IOCTL_STOP);                  //停止蜂鸣器
   close(fd);                                                     //关闭设备驱动文件
   fd = -1;
}
}

static void set_buzzer_freq(int freq)
{
// this IOCTL command is the key to set frequency
int ret = ioctl(fd, PWM_IOCTL_SET_FREQ, freq);            //设置频率
if(ret < 0) {                                                                       //如果输入的频率错误
   perror("set the frequency of the buzzer");
   exit(1);                                                                  //退出,返回1
}
}
static void stop_buzzer(void)
{
int ret = ioctl(fd, PWM_IOCTL_STOP);          //关闭蜂鸣器
if(ret < 0) {                                                     //如果无法关闭蜂鸣器
   perror("stop the buzzer");
   exit(1);                                                          //退出返回1
}
}

int main(int argc, char **argv)
{
int freq = 1000 ;

open_buzzer();                                 //打开蜂鸣器

printf( "/nBUZZER TEST ( PWM Control )/n" );
printf( "Press +/- to increase/reduce the frequency of the BUZZER/n" ) ;
printf( "Press 'ESC' key to Exit this program/n/n" );

while( 1 )
{
   int key;

set_buzzer_freq(freq);                           //设置蜂鸣器频率
   printf( "/tFreq = %d/n", freq );

key = getch();

switch(key) {
   case '+':
    if( freq < 20000 )
     freq += 10;
    break;

case '-':
    if( freq > 11 )
     freq -= 10 ;
    break;

case ESC_KEY:
   case EOF:
    stop_buzzer();
    exit(0);

default:
    break;
   }
}
}

1 STDIN_FILENO 标准输入的文件描述符

内核(kernel)利用文件描述符(file descriptor)来访问文件。文件描述符是非负整数。打开现存文件或新建文件时,内核会返回一个文件描述符。读写文件也需要使用文件描述符来指定待读写的文件标准输入(standard input)的文件描述符是 0,标准输出(standard output)是 1,标准错误(standard error)是 2。 POSIX 定义了 STDOUT_FILENO 和 STDERR_FILENO 来代替 0、1、2。这三个符号常量的定义位于头文件 unistd.h。

2 串口终端的操作。定义在<termios.h>中

通过对串口终端的操作,实现从标准输入得到一个int型的char

1) isatty(STDIN_FILENO) 判断是否有串口与标准输入相连,是返回0,否返回1

2) tcgetattr(STDIN_FILENO, &oldt) 读取终端结构体oldt的属性,获取终端的相关参数。成功返回0,失败返回-1

3) newt.c_lflag&=~(ICANON|ECH0)

c_lflag:本地模式标志,控制终端编辑功能

参数ICANON 表示使用标准输入模式;参数ECH0表示显示输入字符。

4)tcsetattr(STDIN_FILENO,TCSANOW,&oldt)

tcsetattr 函数用于设置终端参数,成功返回0,失败返回-1。

TCSANOW:不等数据传输完毕就立即改变属性。

http://www.51cto.com/art/200711/59746.htm

mini2440的pwm驱动程序和测试程序详解相关推荐

  1. mini2440的LEDS驱动程序和测试程序详解

    mini2440的LEDS驱动程序和测试程序详解 http://hi.baidu.com/760159/blog/item/75c225f3dea26d19b17ec525.html 一 leds的驱 ...

  2. 友善之臂 mini2440 linux led 驱动代码,[转]mini2440的LEDS驱动程序和测试程序详解

    转自:http://blog.csdn.net/garby2004/article/details/4603996 一 leds的驱动程序 位置:linux 2.6.29/drivers/char/m ...

  3. PWM控制技术+Simulink仿真详解

    想要理解SVPWM控制技术,首先要了解PWM控制技术. PWM控制技术就是对脉冲宽度进行调制的技术,通过对一系列脉冲宽度进行调制来等效获得所需要的波形(包括形状和幅值). PWM控制的基本原理:冲量( ...

  4. 【STM32】PWM 输出实验代码详解

    文章目录 main.c pwm.c pwm.h STM32 的定时器除了 TIM6 和 7,其他的定时器都可以用来产生 PWM 输出. 高级定时器 TIM1 和 TIM8 可以同时产生多达 7 路的 ...

  5. linux led测试程序,Linux中加入led驱动及测试程序详解

    就可以生成ledtest,注意如果把arm-linux-gcc的路径加到PATH环境变量中去,否则会出现找到不命令的错误. 把ledtest通过串口发到开发板中,因为没有执行权限,先运行chmod 7 ...

  6. SVPWM控制技术+Matlab/Simulink仿真详解

    文章目录 前言 一.SVPWM的控制原理 二.空间矢量的概念 三.电压与磁链空间矢量的关系 四.三相逆变器的基本电压空间矢量 五.SVPWM 算法的合成原理 六.SVPWM 算法推导 6.1.七段式S ...

  7. Arduino :PWM详解和电路搭建以及示例代码

    Arduino :PWM详解和电路搭建以及示例代码 PWM 调制介绍 脉冲宽度调制是PWM的全称.它是数字编码的模拟信号电平.由于计算机不能输出模拟电压而只有0或5V数字电压值,我们可以应用调制方波占 ...

  8. 浅析嵌入式系统之uboot详解(5.3)—PWM定时器(番外)

    bootloader详解目录-废铁是怎么产生价值的 浅析嵌入式系统之uboot详解(1)-板子上电后uboot做了什么 浅析嵌入式系统之uboot详解(2)-CPU工作模式 浅析嵌入式系统之uboot ...

  9. 10. 从0学ARM-基于Exynos4412的pwm详解

    十一.PWM详解 一.什么是PWM PWM,英文名Pulse Width Modulation,是脉冲宽度调制缩写,它是通过对一系列脉冲的宽度进行调制,等效出所需要的波形(包含形状以及幅值),对模拟信 ...

最新文章

  1. Eclipse使用新手教程
  2. 两种获取Stream流的方式
  3. threejs 局部辉光
  4. Linux服务跟随启动,Linux—添加开机启动(服务/脚本)
  5. HiTSDB 时序数据库技术架构和产品解析
  6. html5 crosshair,嘿,纯正ROG血统 CROSSHAIR VIII IMPACT (开箱篇)最终版
  7. Jasypt 开源加密库使用教程
  8. css媒体查询和居中
  9. Windows下搭建GCC + Eclipse + OpenOCD的ARM开发环境
  10. 人工智能原理与方法作业1
  11. 基于JAVA的网上购物系统设计_基于Java的网上购物系统的设计与实现
  12. h3c 链路聚合测试_H3CSE学习之链路聚合
  13. 51单片机连接ESP8266串口WiFi模块
  14. android充电线排序,安卓数据线分类有哪些
  15. input框隐藏和不可编辑
  16. 腾讯安全御见威胁情报中心截获粗鲁的矿工,三种方式猛攻企业网络
  17. 用Android手机充当台式电脑的摄像头、麦克风和音箱
  18. 算法模板:归并排序【沈七】
  19. 程序设计思维与实践 Week2 作业 B - Pour Wate
  20. Redis实现短信登入功能(一)传统的Session登入

热门文章

  1. 从网易云音乐看爬虫。
  2. 【译】学习Flutter中新的Navigator和Router系统
  3. 解决win7自带天气小工具不能使用
  4. 跨平台工程移植:opencv_pnp + artoolkitplus :ubuntu qtcreator-- windows qtcreator/ VS
  5. Ubuntu下安装jre8的步骤
  6. Vue2.X路由小细节--米斯特吴
  7. Allegro标注焊盘尺寸
  8. 工资六千的岗位面试了6轮
  9. 【数据库系统原理】第一节数据库系统概述
  10. 数据库系统原理与应用教程(008)—— 数据库相关概念练习题