在拓扑引擎内检测到故障,错误代码255

小编是ArcGis10.2,以下是我查的资料以及解决方案,希望对大家有用。
此方法亲测有效,其他版本没有试过。

地理处理工具创建的临时文件

某些地理处理工具在处理期间会创建系统临时文件。这些临时文件始终带有前缀 xx。您在将要创建 xx 文件的位置必须具有写入权限。可以通过系统变量控制此位置。

尝试创建 xx 文件时,过程将按照以下顺序完成:

系统环境变量 ARCTMPDIR(您必须添加此变量)

系统临时文件(TEMP、TMP、用户配置文件)

当前工作目录 (CWD)

将使用可以创建 xx 文件的第一个位置。如果地理处理工具因某种原因无法写入上述任一位置,将生成一个错误消息,并且该工具的操作失败。您必须确保地理处理工具在上述位置之一可以写入。

解决方案:

添加环境变量方法:https://jingyan.baidu.com/article/47a29f24610740c0142399ea.html

新建环境变量:
变量名:ARCTMPDIP
变量值:C:\temp
如图所示:

在拓扑引擎内检测到故障,错误代码255相关推荐

  1. 托管调试助手“LoaderLock”在XXX中检测到故障。其他信息:正尝试在OS加载程序锁内执行托管代码。不要尝试在DllMain或映像初始化函数内运行托管代码,这样做会导致应用程序挂起。

    在程序中执行new一个对象A时,出现了错误信息: 托管调试助手"LoaderLock"在XXX中检测到故障.其他信息:正尝试在OS加载程序锁内执行托管代码.不要尝试在DllMain ...

  2. 网络安全公司奇安信集团是如何基于 Flink 构建 CEP 引擎实时检测网络攻击【未来不可忽视的网络安全】

    摘要: 奇安信集团作为一家网络安全公司是如何基于 Flink 构建 CEP 引擎实时检测网络攻击?其中面临的挑战以及宝贵的实践经验有哪些?本文主要内容分为以下四个方面: 背景及现状 技术架构 产品及运 ...

  3. 施乐252服务器修复,富士施乐uCentre-IVC2263故障错误代码.pdf

    富士施乐uCentre-IVC2263故障错误代码.pdf 错误代码 错误代码 本节介绍错误代码. 如果由于错误导致打印异常终⽌,或机器中出现故障,将会显⽰错误信息和错误代码 (***-***). 对 ...

  4. 主板检测(诊断)卡错误代码含义

    诊断卡代码表 开机后主板测试卡直接显示"FF或00"的故障原因及排除方法(附:电脑主板故障诊断卡代码表)开机后主板测试卡直接显示"FF"或"00&qu ...

  5. ITV常见故障错误代码(中兴平台)

    ITV常见故障错误代码(中兴平台) 2012年01月14日 故障号 提示信息 故障原因 推荐处理方法 电信2.2规范要求的统一故障号,主要是媒体播放异常 1 "系统正忙,请稍候再试" ...

  6. 通过Ping检测网络故障的典型次序和IPconfig命令的使用

    1.通过Ping检测网络故障的典型次序 (1)ping 127.0.0.1 这个Ping命令被送到本地计算机的IP软件,该命令永不退出该计算机.如果没有做到这一点,就表示TCP/IP的安装或运行存在某 ...

  7. CANON佳能I6100故障错误代码和清零方法

    CANON佳能I6100故障错误代码和清零方法 我最近遇到CANON I6100不能打印.故障现象为:黄灯绿灯交替闪四下.开机电机发出吱吱几声就不动.发现清洁单元被墨水粘死不能复位.清洁后故障现象排除 ...

  8. CMD——ping及用其检测网络故障

    Ping命令全称Packet Internet Grope,即因特网包探测器.通过调用ICMP(因特网控制报文协议),发送一份ICMP回显请求给目的主机,并等待返回ICMP回显应答.一般用来测试源主机 ...

  9. ie php脚本引擎,使用php重新实现PHP脚本引擎内置函数

    使用php重新实现PHP脚本引擎内置函数 // 实在无聊,突发奇想,想把PHP里面部分已经提供封装好的函数重新使用PHP实现一遍, // 于是便有了下面的代码主要实现了PHP中部分字符串处理函数,同时 ...

最新文章

  1. 闽台“物联网+”产业融合方兴未艾
  2. 戴上VR眼镜来场球赛?开启上帝视角
  3. 卸载 windows_Windows 10可能很快会自动卸载有问题的Windows更新
  4. 一个DirectSound的例子
  5. 向.NET Core项目添加EntityFrameworkCore支持
  6. 如何把一个整数转化成数组_「leetcode891」给定一个整数数组 A,考虑 A 的所有非空子序列...
  7. kafka 幂等机制入门实例
  8. 大数据面试题及答案-汇总版
  9. 【vscode简单入门(四)】vscode精美UI主题推荐 (~」还你一个花里胡哨的vscode「~)
  10. rhcsa第二天作业9道题
  11. 历时一个月整理2021金三银四Java面试题汇总,足足127页!
  12. GRM Tools 声音设计套装 Delays 演示
  13. 厦门大学计算机科学专业,2018厦门大学计算机科学系考研招生信息汇总
  14. 机械/电信/生物/化学专业出身,为啥都要转行计算机?
  15. Sketch入门基础-画布、Artboard(画板)功能介绍
  16. Excel中如何连续使用格式刷
  17. 使用Xshell远程连接CentOS7全过程,包括遇到的各种问题集合及解决方案
  18. 全自动叠片过滤器内部滤芯讲解
  19. 管道和FIFO概念以及相关函数(pipe、mkfifo)介绍
  20. 2021年中国电子商务行业投融资现状及未来发展趋势分析[图]

热门文章

  1. 【ROS】ros入门21讲(下)
  2. CenterNet :Objects as Points 详解
  3. linux设置为lunux文件夹,Linux设置环境变量时如何修改文件
  4. linux一次性密码确保ssh登录安全,使用 SSH 时确保 EC2 Linux 实例安全的最佳实践
  5. vscode中前端vue项目详解_web前端Vue项目实战-Music
  6. 安装-apache skywalking (java 应用性能监控)
  7. MySQL replication illegal mix of collations
  8. springboot图书管理怎么实现借书时间到期提醒_东和店镇第一小学图书数字化管理先进做法...
  9. CSDN博客利用HTML标签制作美观表格
  10. Libhybris之Glibc和Bionic共存时的TLS问题(四)