一是用时钟触发器和门电路进行设计;

二是用集成计数器构成。集成计数器一般都设有清零输入端和置数输入端,且无论是清零还是置数都有同步和异步之分,例如清零、置数均采用同步方式的有集成4位二进制同步加法计数器74163;

4位二进制同步可逆计数器74193、4位二进制异步加法计数器74197和十进制同步可逆计数器74192。

4位二进制同步加法计数器74161和十进制同步加法计数器74160,用于异步归零和同步置数。如CC4520,74190,74191,74290具有异步归零,设置“9”的功能。

扩展资料:

当触发器翻转时,当CP=1时,输入信号被阻塞。这是因为当G3和G4打开时,它们的输出Q3和Q4的状态是互补的,即其中一个必须为0。如果Q3为0,则由G3输出到G5输入的反馈行将阻塞G5,即阻塞D到基本RS触发器的路径。

反馈线起着保持触发器处于状态1和防止触发器变为状态0的作用。因此,反馈线路称为设定1维护线路和设定0阻塞线路。

当Q4为0时,G3和G6被阻塞,到基本RS触发器的d端路径也被阻塞。从Q4输出端到G6的反馈行起着保持触发器处于0状态的作用,称为0的维护行。从Q4输出到G3输入的反馈线路阻止了设置1的触发器,这被称为设置1阻塞线路。因此,该触发器通常被称为维护阻塞触发器。

参考资料来源:百度百科-D触发器

c语言实现同步四位加法计数器,试用4位同步二进制加法计数器74161才用置数法构成三进制计数器...相关推荐

  1. c语言实现同步四位加法计数器,八进制计数器设计方案汇总(四款模拟电路原理实现过程)...

    今天小编要和大家分享的是八进制计数器,计数器相关信息,接下来我将从八进制计数器设计方案汇总(四款模拟电路原理实现过程),试用4位同步二进制加法计数器74161采用置数法构成十进制计数器 二这几个方面来 ...

  2. hdl四位二进制计数器_利用Quartus设计4位同步二进制加法计数器

    一.设计原理 4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0. 在clr复位信号无效(即此时高电平有效)的前提下,当clk的上 ...

  3. 利用Quartus设计4位同步二进制加法计数器

    一.设计原理 4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0. 在clr复位信号无效(即此时高电平有效)的前提下,当clk的上 ...

  4. 74160ENT引脚设计法+同步置数法接成365进制加法计数电路

    之前已完成了使用ENT引脚设计法接成1000进制加法计数电路这一任务, 现在只需将设计好的1000进制加法计数电路稍加修改, 便可构成365进制加法计数电路. 题目: 将用ENT引脚设计法接成的100 ...

  5. verilog设置24进制计数器_任意进制计数器 || 反馈复位法 反馈置数法 || 超级重点 || 数电...

    任意进制计数器 || 反馈复位法 反馈置数法 || 超级重点 || 数电 前面介绍了4位二进制计数器和十进制计数器,但它们的计数长度.计数方式是固定的. 例如: 十进制计数器74160,其计数的模为1 ...

  6. 二十一、由四进制计数器制作三进制计数器

    目录 原理分析: 电路图: 电路图解析: 效果演示: 晶体管级数字电路设计专栏目录_LD QM的博客-CSDN博客 ------------------------------------------ ...

  7. 74160ENT引脚设计法+同步置数法接成60进制加法计数电路(设计方案2)

    由于74160的状态到达1001时, RCO引脚变为高电平, 所以可以说74160的状态到达1001和其RCO引脚变为高电平是等价的: 基于这一点, 我们可将低位74160状态到达1001等价变换为低 ...

  8. 74160ENT引脚设计法+同步置数法接成24进制加法计数电路

  9. 74160ENT引脚设计法+同步置数法接成60进制加法计数电路

最新文章

  1. Python 正则表达式(分组)
  2. sharepoint 2010 显示和隐藏Ribbon区域条
  3. MySQL中int类型详解
  4. Quartz任务调度
  5. 343. 整数拆分(JavaScript)
  6. HTTP接口测试工具Postman
  7. apache netbeans ide为什么安装不了_Eclipse安装及常见的基于Eclipse的嵌入式集成开发环境...
  8. [索尼]笔记本电脑驱动程序安装顺序?
  9. 《Adobe Photoshop CS6中文版经典教程(彩色版)》目录—导读
  10. IPD咨询之企业营销体系解决方案:让营业额快速翻倍!
  11. Python3 - DBUtils 和 pymysql 整合
  12. 三相并联功率因数校正matlab,基于并联技术的三相功率因数校正方法研究
  13. 获取6050原始数据
  14. Pixelmator for mac(图片编辑处理工具) v3.8.1激活版
  15. Navicat导入xlsx文件提示无法打开文件
  16. Java用户注册服务器发送短信验证码功能实现
  17. 线性模型(二)-- 线性回归公式推导
  18. 8.合并两个有序的数组
  19. es7版本数据备份与恢复(生产环境)
  20. 啥是BGP机房啊,被科普一下!

热门文章

  1. css3-div+css3制作个人简历网页
  2. 《Adobe Dreamweaver CS6中文版经典教程》——导读
  3. 最齐全的鼠标3dm犀牛模型素材,速来收藏
  4. 电子科大复试计算机程序设计c语言,2019电子科技大学计算机软件考研复试手册.docx...
  5. 游戏发烧型计算机配置方案,游戏多开无压力 七千元八核独显发烧游戏电脑配置推荐...
  6. java集合,多线程,面向对象,枚举类,异常等所有常用的方法总和
  7. 智能制造时代下,MES管理系统需要解决哪些问题
  8. Django3(一)
  9. 可编程中断控制器8259A
  10. 哈工程2001年计算机考研真题,哈尔滨工程大学 哈工大 2001年操作系统 考研真题及答案解析.pdf...