一、设计原理

4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0。

在clr复位信号无效(即此时高电平有效)的前提下,当clk的上升沿到来时,如果计数器原态是15,计数器回到0态,否则计数器的状态将加1

二、VHDL源程序

library ieee;

use ieee.std_logic_1164.all;

entity cnt4e is

port(clk,clr:in std_logic;

cout:out std_logic;

q:buffer integer range 0 to 15);

end cnt4e;

architecture one of cnt4e is

begin

process(clk,clr)

begin

if clk'event and clk='1'then

if clr='1'then

if q=15 then q<=0;

cout<='0';

elsif q=14 then q<=q+1;

cout<='1';

else q<=q+1;

end if;

else q<=0;

cout<='0';

end if;

end if;

end process;

end one;

三、仿真波形图

VerilogHDL和一个的编程语言其实也差不多,关键在于首先要了解所搭的电路。不仅仅是纯语言思想,同时动手实践也相当重要。

hdl四位二进制计数器_利用Quartus设计4位同步二进制加法计数器相关推荐

  1. 利用Quartus设计4位同步二进制加法计数器

    一.设计原理 4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0. 在clr复位信号无效(即此时高电平有效)的前提下,当clk的上 ...

  2. 数字逻辑练习题(十一)利用74LS161设计一个七进制计数器

    一.题目描述 已知74LS161为同步四位二进制加法计数器,其逻辑符号和功能表如下,请利用74LS161设计一个七进制计数器.应写出分析设计过程. 二.问题解答 (1)分析 采用同步置数法进行设计:

  3. 用二进制计数器集成芯片74161设计一个64进制计数器

    用二进制计数器集成芯片74161设计一个64进制计数器.要求分别用反馈清零法和反馈置数法实现.画出设计的电路图. 端口介绍: A B C D为置数的数字输入端(其中D为最高位,A为最低位),在实现置数 ...

  4. 两片74161实现60进制_用二进制计数器集成芯片74161设计:(1)60进制计数器 (2)6×10进制计数器 (3)10×6进制计数器的实验思路...

    74161是4位二进制计数器,有同步置数和异步清零功能. 下面所有的计数器方案都用同步逻辑来进行设计 (1)60进制计数器,进位条件为59,转换成二进制是111011 需要用两片74161,N1作为低 ...

  5. 两片74161实现60进制_设计60进制的计数器_用eda设计60进制计数器_74ls161(3)

    图4 D/A 转换器电路 该电路的输入信号接四位二进制计数器的输出 端,设计数器输出高... 数字控制电路要求5V电源,可选择CW7805集成三端稳压器实现.辅助电源原理图如图6... <数字电 ...

  6. hfss螺旋平面_利用HFSS设计平面等角螺旋天线

    - 73 - 利用 HFSS 设计平面等角螺旋天线 杜起飞 北京理工大学电子工程系 100081 摘要:本文介绍了一种双臂平面等角螺旋天线的设计过程,利用 ANSOFT HFSS 对其结构进行了建 模 ...

  7. 单例模式访问mysql设计类图_利用单例模式设计数据库连接Model类

    之前在<[php]利用php的构造函数与析构函数编写Mysql数据库查询类>(点击打开链接)写过的Mysql数据库查询类还不够完美,利用<[Java]单例模式>(点击打开链接) ...

  8. java完成一个学生信息调查程序_利用Java设计一个简单的学生信息管理程序

    利用Java设计一个简单的控制台学生信息管理程序 此程序可作为课设的参考,其中信息存储于文件中. 创建了学生类Student,用于存储学号等的信息.创建StudentFunction类,用于实现诸如学 ...

  9. hfss螺旋平面_利用HFSS设计平面等角螺旋天线.pdf

    利用HFSS设计平面等角螺旋天线 Ansoft2004 年用户通讯 - 73 - 利用 HFSS 设计平面等角螺旋天线 杜起飞 北京理工大学电子工程系 100081 摘要:本文介绍了一种双臂平面等角螺 ...

最新文章

  1. 安装batocera-linux教程_利用Grub2Win安装Batocera+Windows7双系统甚至多系统实战教程
  2. MySQL 8.0 可以操作 JSON 了,牛!
  3. webview检测html事件,在JavaFX WebView中检测HTML textarea onkeyup事件
  4. React 中 keys 的作用是什么?
  5. SAS数据挖掘方法论 ─ SEMMA
  6. 多久没有给家里打过电话了?
  7. 这两种printf()函数重定向方法,太实用了
  8. 可燃气体浓度多少合格_安燃无恙 | 可燃气体报警器的常见故障处理
  9. this.fields.get(c) is undefined
  10. 取出Cookie中的中文显示乱码解决方法。经验证第三种方法有效。
  11. 安装Google版本的金山词霸会导致播放Silverlight视频的时候IE或者是FF崩溃
  12. [量子计算]量子计算的发展史与中国现在的量子计算整体水平
  13. php网站整合ck播放器,CKplayer 整合播放M3U8视频
  14. 最新手机号码、电话号码正则表达式
  15. 【时事摘抄】(原创)既然故宫领导对错误一慨不知,认神马错?
  16. 小学计算机说课稿模板,获奖小学信息技术说课稿模板
  17. 飞鸽传书2007绿色版下载
  18. SLAM中本质矩阵E,基础矩阵F,单应矩阵H总结
  19. CCProxy使用 记录
  20. 产品公司解决方案、解决方案公司解决方案,可能你做了一辈子IT你也不知道...

热门文章

  1. 多个复杂excel模板打包zip下载
  2. itext设置字体间距_全面解析排版三要素:字号、行距和字间距,小白看完都会排版...
  3. docker公共仓库:创建docker hub公共仓库 / 登陆docker hub仓库报错解决/ 上传拉取镜像 / 配置阿里云镜像加速器
  4. POW'ER 2019大会精彩回顾,除了CSDN联手火星竞选Libra超级节点, 大咖们还说了啥?...
  5. upload 附件上传流程(限制附件大小格式)
  6. python科学计算够用吗_为什么Python适合科学计算?/python3科学计算教程
  7. 如何使用python语言而辩论
  8. web调用IC卡读卡器开发第一章
  9. 使您的软件运行起来: 防止缓冲区溢出
  10. 【一起读论文系列1】基于压缩感知的语音编解码方向研究