一、相位噪声传递模型

二、相位噪声计算

定义开环传递函数为:G(s)=KPD⋅ZLF(s)⋅KvcosG(s)=K_{PD} \cdot Z_{LF}(s) \cdot \frac{K_{vco}}{s}G(s)=KPD​⋅ZLF​(s)⋅sKvco​​

模块 传递函数 通带模型
晶振 θoutθREF(s)=G(s)1+1NG(s)\frac{\theta_{out}}{\theta_{REF}}(s)=\frac{G(s)}{1+\frac{1}{N}G(s)}θREF​θout​​(s)=1+N1​G(s)G(s)​ LPF
PFD+CP θoutθREF(s)=2πIcp⋅G(s)1+1NG(s)\frac{\theta_{out}}{\theta_{REF}}(s)=\frac{2\pi}{I_{cp}}\cdot\frac{G(s)}{1+\frac{1}{N}G(s)}θREF​θout​​(s)=Icp​2π​⋅1+N1​G(s)G(s)​ LPF
LF θoutθREF(s)=Kvcos⋅11+1NG(s)\frac{\theta_{out}}{\theta_{REF}}(s)=\frac{K_{vco}}{s}\cdot\frac{1}{1+\frac{1}{N}G(s)}θREF​θout​​(s)=sKvco​​⋅1+N1​G(s)1​ BPF
VCO θoutθREF(s)=11+1NG(s)\frac{\theta_{out}}{\theta_{REF}}(s)=\frac{1}{1+\frac{1}{N}G(s)}θREF​θout​​(s)=1+N1​G(s)1​ HPF
分频器 θoutθREF(s)=G(s)1+1NG(s)\frac{\theta_{out}}{\theta_{REF}}(s)=\frac{G(s)}{1+\frac{1}{N}G(s)}θREF​θout​​(s)=1+N1​G(s)G(s)​ LPF

1、相位噪声影响:

根据通带模型可以得出锁相环近端相位噪声主要来自于晶振、分频器和鉴相器电荷泵;中端主要来自于环路滤波器;远端主要来自于压控振荡器。

2、噪声计算:

1)、闪烁噪声计算公式:PNflick=FlickerFom+20log(fvco)−10log(foffset)PN_{flick}=FlickerFom+20log(f_{vco})-10log(f_{offset})PNflick​=FlickerFom+20log(fvco​)−10log(foffset​);
2)、其他噪声:PNfloor=FloorFom+10log(fPD)+20log(fvco/fPD)PN_{floor}=FloorFom+10log(f_{PD})+20log(f_{vco}/f_{PD})PNfloor​=FloorFom+10log(fPD​)+20log(fvco​/fPD​);
3)、相位噪声合成计算公式为:PN=10log[10PNflick/10+10PNfloor/10]PN=10log[10^{PN_{flick}/10}+10^{PN_{floor}/10}]PN=10log[10PNflick​/10+10PNfloor​/10].

微信公众号:通信随笔XIDIAN

锁相环相位噪声模型及其计算相关推荐

  1. PLL之相位噪声模型和计算

    PLL之相位噪声模型和计算 前言 一.相位噪声传递模型 二.相位噪声计算 前言 简单记录一下鉴相器的相位噪声的计算 一.相位噪声传递模型 二.相位噪声计算

  2. 混频锁相环相关拓扑,性能以及错锁问题梳理

    正在上传- 重新上传 https://download.csdn.net/download/sinat_28083087/87708203 1. DS.PLL.DDS 三种主流频率合成技术DS.PLL ...

  3. 锁相环环路滤波器分析

    写在前面的话:本篇文章参考自杜勇工程师的<锁相环技术原理及其FPGA实现> 目录 锁相环环路滤波器分析 一.RC低通滤波器 二. 二阶环路的传输函数 三.回顾二阶线性电路 四.RC滤波器二 ...

  4. 电机标幺化、PI标幺化、锁相环PLL标幺化 详解电机模型相关标幺化处理

    电机标幺化.PI标幺化.锁相环PLL标幺化 详解电机模型相关标幺化处理 电流环PI控制器的标幺化处理 观测器中PLL锁相环的标幺化处理 采样时间处理 这是文档,不是代码,文档中的代码均为引用举例子的 ...

  5. 电机标幺化、PI标幺化、锁相环PLL标幺化 详解电机模型相关标幺化处理 电流环PI控制器的标幺化处理

    电机标幺化.PI标幺化.锁相环PLL标幺化 详解电机模型相关标幺化处理 电流环PI控制器的标幺化处理 观测器中PLL锁相环的标幺化处理 采样时间处理 这是文档,不是代码,文档中的代码均为引用举例子的 ...

  6. PC偏振控制器、锁模激光器技术、AOM声光调制器、相位噪声、锁相环、光耦合器类型

    1.PC 偏振控制器 (1)什么叫做偏振光?polarized light 光是一种电磁波,电磁波是横波,它具有偏振性,具有偏振性的光则称为偏振光. 具体体现: 振动的方向和光前进的方向构成了振动面 ...

  7. 光伏发电并网模型MATLAB SIMULINK Boost+三相逆变器 PLL锁相环 MPPT最大功率点跟踪控制(扰动观察法)

    光伏发电并网模型MATLAB SIMULINK Boost+三相逆变器 PLL锁相环 MPPT最大功率点跟踪控制(扰动观察法) dq解耦控制 电流内环电压外环的并网控制策略 ID:7324687883 ...

  8. 锁相环PLL相位噪声仿真代码

    锁相环PLL相位噪声仿真代码,汇总,教程phase noise 1.文件夹里面各个文件作用(包括参考书PLL PHASE NOISE ANALYSIS.lee的射频微电子.以及前人留下的matlab文 ...

  9. 锁相环PLL --原理浅析

    1.什么是锁相环 锁相环电路是使一个特殊系统跟踪另外一个系统,更确切的说是一种输出信号在频率和相位上能够与输入参考信号同步的电路,它是模拟及数模混合电路中的一个基本的而且是非常重要的模块. 2.锁相环 ...

最新文章

  1. JavaScript标准Selection操作
  2. SSAS Tabular模式中关系设置不支持直接设置多对多?
  3. MySQL 数据库sql命令查询被锁的表实例演示,mysql的锁表与解锁,mysql强制解锁杀掉进程,mysql查询锁表一直转圈
  4. 文巾解题 45. 跳跃游戏 II
  5. Tornado入门三
  6. dubbo admin 安装
  7. 厦门银行服务器没有收到证书,FRM证书申请成功后,一直未收到证书该如何做?...
  8. C#流程控制语句--迭代语句(while,do....while, for , foreach)
  9. USACO Dual Palindrome
  10. 大数据之Hadoop简介
  11. kali系统自带字典wordlists简介
  12. 腾讯日常实习面试经历
  13. 什麼是雲端服務?阿正老師教你免費玩Amazon EC2雲端主機!(上篇)
  14. 运行app时找不到so的原因
  15. 用计算机听音乐的ppt,认识计算机好朋友.ppt
  16. npm介绍与cnpm介绍
  17. cornerstone 汉化_CORNERSTONE | 好用到哭的项目管理工具
  18. 推荐《怪诞行为学:可预测的非理性》
  19. php判断手机还是电脑
  20. 嵌入式系统的基本架构

热门文章

  1. 案例 :探索性文本数据分析的新手教程(Amazon案例研究)
  2. 【git-02】用git管理vue项目
  3. OSI七层模型的理解
  4. android 控件 堆叠_每次在Android上正确地向后堆叠
  5. 使用Cisco Packet Tracer之图解PDUs的使用
  6. pbootcms开发助手3.15 下载地址
  7. 建筑工程技术专业需要用计算机吗,一级建造师通信与广电工程必须是计算机相关专业吗...
  8. 涛思数据荣登“创业邦100未来独角兽榜单”“2021 AIoT新维奖行业先锋榜”
  9. vue耦合程度是什么意思
  10. 浏览器版本重新判断(IE11革新后)