文章目录

  • 一、实验目的
  • 二、实验内容
  • 三、实验设计
  • 四、实验结果及仿真

一、实验目的

掌握利用VHDL语言,进行基本时序元件的设计。

二、实验内容

利用VHDL语言设计含异步复位(RST)及使能端(EN)的4位D触发器,其中输入D及输出Q均为4位(STD_LOGIC_VECTOR),上升沿触发。通过编译、仿真验证功能正确性。

三、实验设计

1.依据D触发器的VHDL描述以及含异步复位和时钟使能的D触发器的VHDL描述相关知识。其描述如下。

2.利用VHDL语言设计含异步复位(RST)及使能端(EN)的4位D触发器,其中输入D及输出Q均为4位(STD_LOGIC_VECTOR),上升沿触发。代码及必要注释如下。

Library Ieee;
Use Ieee.Std_Logic_1164.All;
Entity dff4 isport(clk,rst,en: in Std_Logic;d: in Std_Logic_Vector(3 downto 0);q: out Std_Logic_Vector(3 downto 0));
End Entity dff4;
Architecture bhv of dff4 issignal q_s: Std_Logic_Vector(3 downto 0);beginprocess(clk)beginif rst = '1' then q_s <= "0000";elsif clk'event and clk='1' then if en='1' then q_s <= d;end if;end if;end process;q <= q_s;
End bhv;

四、实验结果及仿真

分别给ret、en、clk和d设置合适的信号进行仿真观察仿真结果,验证功能正确。仿真结果如下。

五、实验思考与总结
在VHDL表述的时序模块中有这样的规律:一般地,凡是独立于时钟的异步控制信号都放在以时钟边沿测试表述clock'EVENT AND clock='1'为条件语句的IF语句以外(或以上),凡是依赖于时钟有效的同步控制信号则放在边沿测试表述以内(或以下)。

FPGA(4)--VHDL--4位D触发器相关推荐

  1. 笔记:FPGA与VHDL语言学习2

    FPGA与VHDL语言学习2 目录 1. 7位2进制计数器程序,要求计算器从0开始计数,计数到59(十进制的59,看到的是二进制数)后归零,重新循环计数 2. 2位十进制计数器程序,要求计数器从00开 ...

  2. 笔记:FPGA与VHDL语言学习1

    FPGA与VHDL语言学习1 目录 1.EDA,fpga,asic 2.CPLD与FPGA 3.设计一个三选一FPGA程序 4.使用由半加器与全加器程序生成一个f_adder全加器图形模块.试用4个f ...

  3. (38)VHDL实现主从JK触发器

    (38)VHDL实现主从JK触发器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现主从JK触发器 5)结语 1.2 FPGA简介 FPGA(Field Programma ...

  4. 笔记:FPGA与VHDL语言学习3

    FPGA与VHDL语言学习3 目录 1. LPM_RAM的设置和调用方法,设计一个LPM_RAM 2. 简易正弦信号发生器(这里要使用的 data7X8 .mif 文件),要求用LPM设计一个七位计数 ...

  5. 笔记:FPGA与VHDL语言学习4

    FPGA与VHDL语言学习4 目录 1.<自动售货机控制器设计>,输入程序代码,对代码进行编译,试用Assignments→Assignment Editor进行I/O引脚锁定,重新编译 ...

  6. 计算机组成原理认识fpga,计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品.doc...

    计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品 目录 前言2 第一章 课程设计内容2 1.1 实验要求2 1.2 实验目的2 第二章 实验原理及方案2 2.1 实验 ...

  7. (10)FPGA面试题多位信号同步问题

    1.1 FPGA面试题多位信号同步问题 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题多位信号同步问题: 5)结束语. 1.1.2 本节引言 " ...

  8. 计算机组成 vhdl cpu 实验 西安交大,基于FPGA的VHDL计算机组成实验平台的设计与实现...

    摘要: <计算机组成原理>是计算机系的一门核心课程.但是它涉及的知识面非常广,内容包括中央处理器,指令系统,存储系统,总线和输入输出系统等方面,学生在学习该课程时,普遍觉得内容抽象难于理解 ...

  9. VHDL——4位移位寄存器

    1.电路图 移位寄存器:具有存储代码,移位功能 移位:寄存器里所储存的代码能够在移位脉冲的作用下,依次左移或右移 2.VHDL语言 2.1 D触发器 library ieee; use ieee.st ...

最新文章

  1. 计算机无法打开策略,windows电脑本地计算机策略打不开该怎么解决?
  2. Dictionary Serializer(Dictionary 序列化)
  3. Algorithm Course Review(1.1)
  4. C++ reinterpret_cast,const_cast等 显式类型转换总结
  5. java udp 缓冲区_为什么特定的UDP消息总是低于特定的缓冲区大小?
  6. php 模拟 cas,PHP discuz3.2 cas
  7. 基于jQuery/zepto的单页应用(SPA)搭建方案
  8. LeetCode 203. Remove Linked List Elements
  9. Alibaba Nacos 服务消费者工程接入nacos并实现调用服务提供者工程
  10. Service通信详解
  11. Python进阶(二)Python中的类继承、封装、多态使用方法
  12. 商城系统 DBShop V3.0 Beta 发布
  13. 2019上海开源峰会炉边会谈纪要
  14. 计算机内存4gb是多大,手机内存多大才不卡?专家:4GB足矣
  15. PHP爬虫最全总结 -phpQuery,PHPcrawer,snoopy框架中文介绍
  16. VBScript教程-第二章. 运行脚本
  17. java微信公众号授权登陆
  18. android x86 修改器,烧饼修改器3.0X86专属版
  19. 每分钟54万多条数据更新,商品系统性能如何优化?
  20. 事半功倍追求卓越:人生找位的“TONS法则”

热门文章

  1. 带有批注的Spring硒测试
  2. 简化Java内存分析
  3. Web服务安全性和SOA路线图的人为维度
  4. 使用迭代器时如何避免ConcurrentModificationException
  5. mysql判断条件用法,MySQL数据库讲解条件判断函数 MySQL数据库使用教程
  6. NewServlet ‘***Servlet‘ already exists inthis Web facet.
  7. go语言os.exit(1)_Go语言-信号os.Interrupt和信号syscall.SIGTERM的应用
  8. iphone11什么时候上市_hd3手表高仿哪里买 什么时候上市?
  9. php云服务器买什么系统吗,php云服务器买什么系统
  10. 用html和css布局如下图像,[看书][CSS精粹(第2版)]第三章 CSS和图像 HTML网页布局...