电赛中的电源题说好做也好做,说不好做也不好做,电源是一个危险的东西,硬件和软件稍有不慎可能就会炸板子炸芯片。在19年前的电赛电源题一般都是做开关电源逆变器之类的,但是这类题做的太多了,已经饱和了或者说现在的单纯的电源已经做的效率达到非常之高。往后来说电源题会越来越偏向于环保方面和节能方面,当然也会越来考察基础知识,就比如19年的电源题:简易电路特性测试仪,就是一个关于三极管放大电路展开的。看似简单但是如果你没有很强的模电知识你是做不出来的,第一点在分析电路方面你就会卡死。所以趋势在要求我们不仅动手能力要强而且理论知识也不能太弱。我写的这些都是在电源题目中会经常遇到的一些非常典型的电路,可以拿过来直接用。

比如在上一章中我写了很多关于概念的东西:什么是电压调整率 、什么是负载调整率等等。这些概念一般出现在基础部分的第一第二问,让你的电压调整率或者负载调整率达到多少多少。如果最基本的概念不清楚的话就无法进行下面的操作,所以说万丈高楼平地起,基础知识还是很重要的。这一章说的就是检波与采样。

1  有效值检波技术

1.1  均值检波技术

  在工业中,我们常用的检波技术主要有三种:均值检波技术、峰值检波技术以及均方根值检波技术。其中,均值检波法主要应用于交直流转换电路中,其主要实现方法是将交流信号进行半波或者全波整流,再对整流输出的脉动直流信号进行积分得到较为平缓的直流信号,最后再根据被测信号的半波整流平均值或全波整流平均值与有效值的关系即可计算出被测信号的有效值。图1.1为均值检波的典型电路图:

图1.1  均值检波电路

  图1.1为用于电流的交直流转换电路,图中二极管D1和D2构成半波整流电路,二极管D2用于保持被测回路电流的连续性,并非转换电路本身需要。当用于测量电压信号时,二极管D2可以省略。当输入处于正半周时,二极管D1导通,向电容C充电,微安表得到的是经电容平滑滤波之后的直流电,当输入处于负半周时,电流从D2流过,二极管D1截止,电容电荷通过微安表内阻放电,电容电压下降。

图1.2  均值检波电路电容两端电压波形

  电容两端的电压波形如图1.2所示,流过微安表的电流波形与图1.2电压波形相同,可见,只要输入交流电流幅值不变,流过电流表的波形非常接近一条直线。充放电电路的时间常数越大或信号频率越高,输出波形越接近直线。充放电电路的时间常数越大,当输入电流幅值发生变化时,输出响应越慢。因此,均值检波电路较适合于幅值相对稳定或变化缓慢,而频率较高的交流电测量。

  而峰值检波则是对交流信号进行半波或者全波整流,再用充电电容保持整流输出的脉动直流信号的峰值,得到较为平缓的直流信号。

图1.3  电压半波整流的均值检波电路

  均值检波电路通常采用电容充放电电路作为平均值电路,由于输出为整流平均值,要求电容充放电时间常数相等。由图1.1可知,电容充放电时间常数取决于微安表内阻,充电时,微安表内阻越大,电容越大,流过微安表的电流越小,电容充电电流越大,充电越快;放电时,微安表内阻越大,电容越大,放电越慢。为了使充放电速度相同,因此,只有在微安表内阻与电容符合特定关系时,才能使充放电速度相同。

  对于数字采样的仪表,图中微安表可用取样电阻替代。并且一般会先将电流信号转变为电压信号再作转换,电压半波整流的均值检波电路如图1.3所示。
  但是,上图存在一些问题:
  ① 由于二极管存在压降,当测量电压较小时,二极管压降带来的影响不能忽略。
  ② 这是电阻电容串联电路,并不是严格的平均电路。
  因此,图1.3电路不能实现严格的整流平均值,我们可以通过将变容二极管半波整流电路采用基于精密整流的绝对值电路替代来解决第一个问题,图1.4为实用的均值检波电路,图中只要去除C1,就是全波精密整流电路,本电路在A2构成反相加法器上增加积分电容,将其变为反相加法及积分电路。图中R3=2R4。

图1.4  实用的均值检波电路

1.2  峰值检波技术

  峰值检波法构建的交直流转换电路对交流信号进行半波或全波整流,再用充电电容保持整流输出的脉动直流信号的峰值,得到较平缓的直流信号,直流信号的幅值就是被测交流信号的峰值,再利用被测信号的峰值与有效值的关系即可计算出被测信号的有效值。与均值检波技术不同的是,它利用的是被测信号的峰值而不是均值来计算有效值。
  峰值因数是指信号的峰值与有效值的比值。因此,峰值检波法交直流转换电路得到的测量结果需要除以峰值因数才是被测信号的有效值。

图1.5  峰值检波的最简电路模型

  有趣的是,峰值检波的最简电路模型可以采用和交流电压检波电路一样的模型,如图1.5所示:
  但是实际上,峰值检波和均值检波还是有很多差别的,它们的最大差别在于:
  ① 峰值检波电路要求充电时间足够短,用于窄脉冲测试的峰值检波电路,要求在很短的时间之内,电容可以快速充电至峰值。而放电时间相对长,否则,输出波形不够平滑。对于正负对称并且幅值相对稳定的交流电而言,只要放电速度远远低于充电速度,即使充电速度较慢,也能使输出非常接近于峰值。
  ② 均值检波电路要求充放电时间相等,否则,得到的不是整流平均值,均值检波电路适用于正负对称并且幅值相对稳定的交流电的测量。
  与均值检波类似,在被测电压较小时,需要消除二极管压降带来的误差,我们同样对电路进行改进,得到如图1.6所示电路:

图1.6  改进的峰值检波电路

  当红色当Vi大于Vo时,A1输出正电源电压,变容二极管D1截止,D2导通,电容C迅速充电至Vi。
  当红色当Vi小于Vo时,A1输出负电源电压,变容二极管D1导通,D2截止,电容C通过电阻Rc缓慢放电。

1.3  均方根值检波技术

  均方根值检波技术可以用硬件方法或者软件算法来实现,如果要用硬件实现的话,可以采用专用的真有效值转换芯片来实现。常用有效值转换芯片有AD536、AD637、LTC1966、LTC1967、LTC1968等。其用法可以参考芯片的datasheet,这里不再赘述。我们来详细探讨下软件算法的实现。
  均方根值,顾名思义,就是在输入信号的整数周期内,对输入信号进行平方,相加平均再开方。我们采用均方根值算有效值的流程通常是先将输入信号转化为电压信号,再根据信号的频率选用高速AD对信号进行采样,最后,我们将采样值输入FPGA或者单片机中进行算法处理,得到信号的有效值。这里有两点要特别注意:
  ① 对于频率较高的信号,我们最好选用采样率足够高的AD和主频足够高的FPGA来进行处理,否则的话,算出来的有效值误差会非常大。
  ② 在采样时,最好是按照周期的整数倍来进行采样和数据处理,如果数据处理时不是按照整数个周期来处理的话,得到的值将会是一个跳动的数字。
流程图如下:

均方根值检波法流程图

  首先,搭建一个DDS平台来产生周期性累加的时钟信号,模块最终输出就是要求的有效值,经实测,用TLC3578采样,每个周期采样两百个点进行运算,最终得到的有效值精度可以到小数点后第三位,满足绝大部分应用场景。
  综上所述,均值检波电路输出结果等于被测信号有效值除以波形因数,峰值检波电路输出结果等于被测信号有效值乘以峰值因数,均方根检波电路输出结果等于被测信号有效值。各种方法各有优劣,应根据实际场景来选择最合适的方案。

2  高精度采样技术

  采样对于电源设计来说,是极其重要的一部分,采样精度往往决定了整个系统最终输出的精度,性能以及稳定性,因此,我们在设计系统的采样部分时,应尽可能地高标准。要想实现高精度采样,有两点最重要,第一是AD的选择,第二则是采样电路以及滤波算法的选择。

2.1  AD的选择

  根据A/D转换器的转换原理可以把A/D转换器分为两大类:直接型A/D和间接型A/D。
  直接型A/D将输入的模拟电压通过比较直接转换为数字量。而间接型A/D转换器,模拟电压先被转换为一种中间变量(像时间、频率、脉冲等),然后再把中间量转换成数字量。
  直接型A/D中应用较为广泛的主要有逐次逼近式A/D转换器、流水线A/D转换器;间接型A/D转换器中应用较为广泛的主要有双积分A/D转换器和V/F变换A/D转换器。

2.1.1  A/D转换器的性能指标

  在选择A/D转换器的时候,要根据需要选择性能指标合适的A/D转换器,这就需要我们对A/D的性能指标有一定的了解。
  (1)分辨率
  分辨率表示输出数字量变化一个数字量,输入的模拟电压的变化量,转换器的分辨率定义为满刻度电压与2的n次方的比值,其中n代表A/D转换器位数。
  (2)转换速率
  转换速率是指A/D转换器完成一次数据转换所需要的时间。不同的A/D的转换时间是不一样的,根据实际需要转换速率合适的A/D。
  (3)量化误差
  量化误差是由于A/D转换器的有限分辨率而引起的误差。量化误差是指量化结果和被量化模拟量的差值,显然量化级数越多,量化的相对误差越小。分辨率高的A/D具有较小的量化误差。
  (4)非线性度
  非线性度是指转换器实际的转换曲线与理想转换曲线的偏移,也可以称为线性度。
  (5)偏移误差
  偏移误差是指输入信号为零时,输出信号不为零的值,也叫做零值误差。偏移误差通常是由A/D转换器内部的放大器或比较器输入的失调电压或电流引起的。在使用A/D转换器前,要先将偏移误差降到最低,有的A/D转换器有零点调节的功能。
  (6)输入电压范围
  A/D转换器一般都有规定的输入电压范围,使用过程中尽量不要超出范围,因为这样会造成对A/D的永久损坏。

2.1.2  A/D转换器的选择

  对于品种繁多、性能各异的A/D转换器,在设计数据采集系统时要按照以下几点要求进行选择。
  (1)A/D转换器的位数
  A/D转换器的位数的确定与整个测量控制系统所要测量控制的范围和精度有关。A/D转换器的位数至少要比总精度要求的最低分辨率高一位。虽然分辨率与转换精度是不同的概念,但是没有基本的分辨率就谈不上转换精度。但是,选的太高也没有实际意义,而且会增加系统的成本。
  (2)确定A/D转换器的转换速率
  A/D的转换速率从s级到ns级都有,实际应用中根据系统需要选择合适的转换速率。像积分型的A/D转换速率就比较慢,一般用于对温度、压力、流量等缓变参量的测量和控制。逐次比较型A/D转换器属于中速转换器,常用于单片机控制系统、音频采集等。FLASHA/D转换器属于高速转换器,适用于雷达、数字传输、瞬态记录等实时要求高的测量系统。
  (3)确定工作电压和基准电压
  早期设计的集成A/D转换器需要±15V的工作电源,现在的A/D转换器大多可以在5V~12V范围内工作。基准电压是A/D转换准确的保证,有的A/D带有内部基准比较方便,对于要外接基准的A/D转换器,一定要保证基准电压幅度稳定、准确。必要时使用基准电压芯片。
  (4)输入电压范围
  A/D转换器根据用途不一,输入电压范围各异,选择A/D前一定要注意输入电压的范围,超范围的电压输入可能会导致A/D转换器的永久损坏。

2.1.3  A/D转换器的使用注意事项

  A/D转换器的启动一般需要外部控制启动转换信号,一般由CPU提供。A/D转换器的启动转换信号一般分为两种:脉冲控制启动和电平控制启动。对于电平控制类A/D转换器,电平应在转换的全过程中保持不变,否则会中止转换。
  在正常使用中,A/D转换芯片可能会电流突然增大、突然发热,这是可控硅现象,是所有CMOS集成电路在使用中都可能发生的现象。出现这种情况以后,要切断电源,然后重新打开,又会回复正常工作。为防止这种现象发生可采取以下措施:
  (1)尽量避免较大电流干扰窜入电路;
  (2)加强电源稳压滤波措施,在A/D转换芯片的电源入口处加去耦电路。为防止窄脉冲窜入,应加一个高频滤波电容(0.01µF左右)
  (3)在A/D转换芯片的电源端串一个100~200Ω的限流电阻,可在出现可控硅现象时有效地限定电流,保护芯片。
  另外现在使用的A/D中一般都自带采样保持电路,不需要外面再外接采样保持电路。

2.2  采样电路的设计

  说完了AD的选择,我们就来聊聊采样电路的设计。在电源系统的设计中,AD的采样管脚直接采样的一般都是电压信号,如果需要采样电流信号,我们可以先将电流信号转化为电压信号之后再进行采样,而电压采样一般也分为两种:直流电压采样和交流电压采样,接下来我们来分别讨论这两种采样的电路设计。

2.2.1  直流电压采样

  最简单,最直接的采样方法:判断采样电压的峰值是否在AD的采样范围之内,如果信号范围和AD采样范围相吻合,滤波后直接送入AD采样口进行采样,这里滤波可以用简单的LC或者RC滤波。如果信号的范围超出了AD的采样范围,可以用电阻分压之后再将信号送入AD采样口进行采样。如果分压之后信号幅值太小,可以用运放将信号放大之后再送入AD采样口采样。典型的电路图如图2.1所示:

图2.1  直流电压采样电路

2.2.2  交流电压采样

  在我国,交流电的频率一般都是50Hz,对交流电压的采样,我们可以先用电压电流互感器将强交流信号转化为较弱的交流信号,以方便后级采样。这里对交流互感器的选择也是有讲究的,普通的线圈互感器只能互感交流信号,如果采样信号是交流加上直流偏置的话,直流信号会被直接过滤掉,从而破坏信号的完整性,为了同时采集直流信号和交流信号,我们可以采用霍尔交流互感器,它可以同时互感直流和交流信号,最大程度地保证信号的完整性。然后,我们可以利用高速AD,以周期为单位采集信号的瞬时值并保存在数组里,为之后求信号有效值值或者峰值做准备,这里以求信号有效值为例,我们可以用FPGA搭建一个DDS信号发生器或者买一个DDS发生器模块,使得DDS信号发生器的周期和交流信号的周期成整数倍关系,然后根据DDS信号的节拍去对采样信号进行均方根运算,最后将结果除以那个整数,即可求得较为精确的交流电压有效值。

典型采样电路如图2.2所示:

图2.2交流电压采样电路

-END-


关于比赛的帖子,之前写过很多篇:

「第一弹」电子设计大赛应该准备什么?

「第一篇」大学生电子设计竞赛,等你来提问。

「第二篇」全国一等奖,经验帖。

「第三篇」电赛,这些你必须知道的比赛细节,文末附上近十年电赛题目下载

「第四篇」电赛控制题可以准备一些什么?

「第五篇」全国电子设计竞赛-电源题设计方案总结

「第六篇」对于电赛,我们应该看重什么?

也有一些大神的经验贴,其实不乏国奖获得者:

全国一等奖,他的学习之路。

从0开始,三个月,获全国一等奖。

奖状是怎么炼成的—我的电赛狂魔之旅

全国一等奖的获得者,如今去当了人民教师。


也有一些关于比赛项目的文章:

参加智能车大赛还是电赛?在做电磁炮中我找到了答案

2019年电赛综合测评题详解

「权威发布」2019年电赛最全各类题目细节问题解答汇总

奖状是怎么炼成的—我的电赛狂魔之旅

【大学生电子设计竞赛分享经验贴】风力循迹小车


去年还做过几期猜题的文章,貌似有点接近了,今年还可以继续给大家猜猜。

「猜题第一篇」2019年大学生电子设计竞赛

「重磅猜题之第二篇」2019年大学生电子设计竞赛

 最 后   若觉得文章不错,转发分享,也是我们继续更新的动力。5T资源大放送!包括但不限于:C/C++,Linux,Python,Java,PHP,人工智能,PCB、FPGA、DSP、labview、单片机、等等!在公众号内回复「更多资源」,即可免费获取,期待你的关注~长按识别图中二维码关注

电子设计竞赛电源题(2)-检波与采样相关推荐

  1. 电子设计竞赛电源题(4)-Buck与Boost电路

    点击上方"大鱼机器人",选择"置顶/星标公众号" 干货福利,第一时间送达! 小编做电赛题的时候第一个电路就是做BUCK电路,还记得那是16年的寒假,学长给我们布 ...

  2. 电子设计竞赛电源题(1)-电源题简介

    全国大学生电子设计竞赛是教育部和工业和信息化部共同发起的大学生学科竞赛之一,是面向大学生的群众性科技活动,目的在于推动高等学校促进信息与电子类学科课程体系和课程内容的改革.竞赛的特点是与高等学校相关专 ...

  3. 「第五篇」全国电子设计竞赛-电源题设计方案总结

    点击上方"大鱼机器人",选择"置顶/星标公众号" 福利干货,第一时间送达! 0  前言 许多朋友给我留言说,有没有电源题目的一些文章可以参考. 为了给大家找更多 ...

  4. 2019 年TI杯全国大学生电子设计竞赛H题模拟电磁曲射炮

    2019 年TI杯全国大学生电子设计竞赛H题模拟电磁曲射炮 前言 首先要肯定电子设计竞赛的含金量,而也正是电子设计竞赛给了我本科阶段最好的体验.此文章介绍的作品是我所在团队于2019年参加电赛的参赛作 ...

  5. 实现2020年TI杯大学生电子设计竞赛F题简易无接触温度测量、身份识别与口罩检测装置(未完待续)

    声明 本文仅在CSDN发布,其他均为盗版.请支持正版! 正版链接: https://blog.csdn.net/meenr/article/details/109298042 本文目录 *实现2020 ...

  6. 2021年全国大学生电子设计竞赛F题中数字识别这一技术分支实践与学习笔记

    文章目录 Chapter 1 简介 Chapter 2 制作思路 Section 1 环境与成像效果及思路 Section 2 制作并训练数据集 Section 3 电脑环境配置 Section 4 ...

  7. 2017全国大学生电子设计竞赛H题:远程幅频特性测试仪:主控STM32F407

    2017年全国大学生电子设计竞赛 远程幅频特性测试仪(H题) [外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-9sPfFjy1-1618627176227)(media/ ...

  8. 2017年全国大学生电子设计竞赛 猜题了

    一年一度的电子竞赛又来了 仪器和主要元器件清单如下: [本科组] 仪器清单 500MHz信号源(带 AM调制) 低频信号发生器(1Hz~10MHz) 函数发生器(10 MHz,DDS) 单相电参数测试 ...

  9. 2020年电子设计竞赛B题 ——单相在线式不间断电源 回顾

    单相在线式不间断电源系统 一.题目及其思路 二.模块介绍 Buck电路 Boost电路 INA282电路 逆变电路 切换电路 HLW8032 三.代码思路 四.总结与反思 第一次参加电子设计比赛,还是 ...

最新文章

  1. Linux下使用PPTP ×××拔号的实现
  2. “小罐茶大师作”20亿元销售额难掩虚假宣传本质
  3. html文件中script标签放在哪里?
  4. java面试题大全答案版文库_java高级面试题带答案
  5. 检测mysql运行失败_MySQL 查看最近执行失败的SQL语句
  6. python一直循环怎么写_自学Python,写一个挨打的游戏代码来初识While循环
  7. 牛年喜庆红色字体标题PSD素材!
  8. ssis面试题_SSIS面试问题
  9. 机器视觉开源处理库汇总-介绍n款计算机视觉库/人脸识别开源库/软件 -几种图像处理类库的比较-视觉相关网站...
  10. 人工智能机器学习————MINST数据集的简单处理
  11. vpp之feature机制介绍
  12. 最近新发现的歌谱排版软件Lilypond
  13. 通信技术基础知识回顾
  14. iOS 15 H264硬编码码率变小,图像模糊
  15. Java--------面向对象
  16. 数字逻辑·逻辑代数【运算、函数】
  17. 30天自制操作系统(day10)
  18. 国产数据库OpenGauss--内存优化表(MOT)实践
  19. google的新闻(文章)分类算法
  20. QListWidget设置自定义行间距

热门文章

  1. SAP CRM, C4C和Hybris Commerce的数据迁移策略
  2. OPA 7 - opaTest
  3. OData model cache logic in gateway system
  4. 推荐一个免费的在线图片工具网站
  5. COMMIT WORK关键字在CRM content management应用里的使用场景
  6. delete in ST05 trace - deletion will also lead to many DB access first
  7. 高射炮打蚊子,杀鸡用绝世好剑:在SAP Kyma上运行UI5应用
  8. when is One Order gt_plan_exets filled
  9. SAP ABAP实用技巧介绍系列之Debug XSLT transformation
  10. 如何查找SAP CRM通过中间件Middleware连接的远端ERP系统