基于Vxworks开发,一般都使用WindRiver work bench。 我使用的是WindRiver workbench3.0 。 下面来介绍一下如何使用WindRiver workbench。如何安装就不详细说了,在win7和xp上都可以很容易的安装成功,但是注意在选择key的时候,那是一个.txt的文件。

第一步:

  建立工程

第二步:

第三步:

选择Deselect All,然后根据你用的芯片来选择合适,这个主要用来生成.out文件

第四步:

右键点击所建立的工程,选择Properties,主要是为了配置一些参数。

第五步:

在Build Tools 中选择 Command 在最后加上 -mlongcall,不加的话上板之后可能会出现乱码。

第六步:

写好代码之后直接Build就是了。

注:按照上面的步骤我们只是建立好了工程,代码可以自己用uedit,Notepad++,sourceinsight等编辑好之后,将所有代码文件都拷贝到该工程所在的文件夹中,在第六步的图中,选择Build Project 后面的Refresh就可以加代码加到工程了。然后直接Build。

WindRiver workbench 建立工程步骤相关推荐

  1. keil5建立工程步骤_5个步骤建立实践社区

    keil5建立工程步骤 在本系列的第一部分中 ,我们将社区定义为开放式组织的基本原则,人们通常通过共同的兴趣和激情而不是组织结构图上的头衔,角色或职位来定义其角色,职责和隶属关系. 然后,在本系列的第 ...

  2. 建立STM32的工程步骤(版本1)

    建立STM32的工程步骤(版本1):

  3. 如何在iar中建立c语言文件,使用IAR创建新工程步骤(整理).docx

    IAR使用说明--新建第一个IAR工程 新建一个IAR工程有两种方法,新建工程有两种方法,一种是使用工程模板,另一种是使用已存在地工程来建立另外一个工程.这里讲第一个方法,第二个方法很简单,书稿上有阐 ...

  4. DSP CCS 12.00 芯片:TMS320F28335 建立工程 ,使LED 灯闪烁

    1.建立工程 2.连接芯片,测试是否连接 3.需要用到的文件展示 ! 4. 在工程里面新建一个文件夹,来存储数据,(.c  文件    .asm 文件) 5.添加文件到 文件夹里面去 6.在新建的文件 ...

  5. STM32学习笔记(一)丨建立工程丨GPIO 通用输入输出

    本篇文章包含的内容 一.软件下载注册.驱动安装 1.1 软件(器件支持包)下载 1.2 ST-LINK驱动安装 1.3 USB转串口驱动安装 二.工程的建立 2.1 STM32的开发方式 2.2 新建 ...

  6. 速读原著-Android应用开发入门教程(Android中建立工程)

    2.4 Android中建立工程 2.4.1. 建立工程 Android 的 SDK 环境安装完成后,就可以在 SDK 中建立工程并进行调试了. 建立 Android 工程步骤如下: 选择" ...

  7. 【KV260】解决xilinx-k26-starterkit-v2021.1-final.bsp在petalinux2021.1下配置及建立工程导致的问题

    本章内容用于解决xilinx-k26-starterkit-v2021.1-final.bsp在petalinux2021.1下配置及建立工程导致的问题,后面可能还会遇到问题,再做更新. 在kv260 ...

  8. 怎么建立工程和源代码文件在VC++6.0 中

    http://jingyan.baidu.com/article/cbcede0713189b02f40b4d1c.html 一.建立工程的步骤. 1 首先,打开VC++6.0软件,点击菜单栏上的&q ...

  9. ISE14.7手把手使用教程:建立工程、仿真、下载bit流、程序固化、以及一些常见的坑

    最近,博主在学习FPGA,用的开发软件是ISE14.7,开发板是Spartan-3E starter board.本文主要记录下ISE14.7软件的使用,怎样从头开始建立工程,写代码,仿真,到下载bi ...

  10. 关于Code::Blocks建立工程时出现“file not recognized: File format not recognized”“it seems that this project...

    今天,用codeblocks建立完一个工程后,编译时出现了"file not recognized: File format not recognized"的信息 如下图: 点击运 ...

最新文章

  1. MSSQL2000+asp.net+论坛安装过程
  2. 【PC工具】一个好用的评分很高的文件管理器Files
  3. java实验报告合肥工业大学_合肥工业大学 计算机专业 计算方法实验报告
  4. FFmpg音视频入门教程
  5. xhtml的行内描述性元素
  6. python opencv图像处理程序_Python-OpenCV学习(四):基本图像处理
  7. cocos2d-x学习笔记之图片分辨率适配
  8. linux samba 多个目录,linux7 Samba服务配置,多个部门相应管理自己的项目目录,其他有访问权限...
  9. Codeforces 710 E. Generate a String (dp)
  10. ubuntu16.04安装 java JDK8
  11. 她力量系列七丨兰艳艳:理想温暖10年科研路,女性可以柔和,更要自信、专业 | 妇女节特辑
  12. 中国计算机专业的大学生相比于美国差在哪里?
  13. kibana监控logstash
  14. 如何让60岁老人学会使用智能手机
  15. 《OverFeat: Integrated Recognition, Localization and Detection using Convolutional Networks》翻译
  16. 互联网行业的一些职位缩写
  17. tableau中的聚合和总计
  18. 移动邮箱(@139.com):启用IMAP/SMTP服务+获取授权码
  19. 第一章 winds 安装区块链私链 geth并进行节点同步
  20. 关于IOS应用签名不一致的问题解决方法

热门文章

  1. Origin 2022安装教程(附下载链接)
  2. 局域网传输/共享大文件
  3. STM8L151G6U6 之实现低功耗RTC唤醒
  4. 企业设计图纸 无纸化图纸管理方案
  5. 没有人能拒绝这个网站,没有人!!!
  6. 100以内奇数的平方和
  7. 程序员的算法趣题 python3 - (4)
  8. matlab中做出球面和圆柱面,MATLAB中的柱面与球面
  9. Opencv模板匹配学习
  10. 三菱plc串口通讯c语言,三菱plc串口通信协议与串口初始化