基于Vxworks开发,一般都使用WindRiver work bench。 我使用的是WindRiver workbench3.0 。 下面来介绍一下如何使用WindRiver workbench。如何安装就不详细说了,在win7和xp上都可以很容易的安装成功,但是注意在选择key的时候,那是一个.txt的文件。

第一步:

  建立工程

第二步:

第三步:

选择Deselect All,然后根据你用的芯片来选择合适,这个主要用来生成.out文件

第四步:

右键点击所建立的工程,选择Properties,主要是为了配置一些参数。

第五步:

在Build Tools 中选择 Command 在最后加上 -mlongcall,不加的话上板之后可能会出现乱码。

第六步:

写好代码之后直接Build就是了。

注:按照上面的步骤我们只是建立好了工程,代码可以自己用uedit,Notepad++,sourceinsight等编辑好之后,将所有代码文件都拷贝到该工程所在的文件夹中,在第六步的图中,选择Build Project 后面的Refresh就可以加代码加到工程了。然后直接Build。

WindRiver workbench 建立工程步骤相关推荐

  1. keil5建立工程步骤_5个步骤建立实践社区

    keil5建立工程步骤 在本系列的第一部分中 ,我们将社区定义为开放式组织的基本原则,人们通常通过共同的兴趣和激情而不是组织结构图上的头衔,角色或职位来定义其角色,职责和隶属关系. 然后,在本系列的第 ...

  2. 建立STM32的工程步骤(版本1)

    建立STM32的工程步骤(版本1):

  3. 如何在iar中建立c语言文件,使用IAR创建新工程步骤(整理).docx

    IAR使用说明--新建第一个IAR工程 新建一个IAR工程有两种方法,新建工程有两种方法,一种是使用工程模板,另一种是使用已存在地工程来建立另外一个工程.这里讲第一个方法,第二个方法很简单,书稿上有阐 ...

  4. DSP CCS 12.00 芯片:TMS320F28335 建立工程 ,使LED 灯闪烁

    1.建立工程 2.连接芯片,测试是否连接 3.需要用到的文件展示 ! 4. 在工程里面新建一个文件夹,来存储数据,(.c  文件    .asm 文件) 5.添加文件到 文件夹里面去 6.在新建的文件 ...

  5. STM32学习笔记(一)丨建立工程丨GPIO 通用输入输出

    本篇文章包含的内容 一.软件下载注册.驱动安装 1.1 软件(器件支持包)下载 1.2 ST-LINK驱动安装 1.3 USB转串口驱动安装 二.工程的建立 2.1 STM32的开发方式 2.2 新建 ...

  6. 速读原著-Android应用开发入门教程(Android中建立工程)

    2.4 Android中建立工程 2.4.1. 建立工程 Android 的 SDK 环境安装完成后,就可以在 SDK 中建立工程并进行调试了. 建立 Android 工程步骤如下: 选择" ...

  7. 【KV260】解决xilinx-k26-starterkit-v2021.1-final.bsp在petalinux2021.1下配置及建立工程导致的问题

    本章内容用于解决xilinx-k26-starterkit-v2021.1-final.bsp在petalinux2021.1下配置及建立工程导致的问题,后面可能还会遇到问题,再做更新. 在kv260 ...

  8. 怎么建立工程和源代码文件在VC++6.0 中

    http://jingyan.baidu.com/article/cbcede0713189b02f40b4d1c.html 一.建立工程的步骤. 1 首先,打开VC++6.0软件,点击菜单栏上的&q ...

  9. ISE14.7手把手使用教程:建立工程、仿真、下载bit流、程序固化、以及一些常见的坑

    最近,博主在学习FPGA,用的开发软件是ISE14.7,开发板是Spartan-3E starter board.本文主要记录下ISE14.7软件的使用,怎样从头开始建立工程,写代码,仿真,到下载bi ...

  10. 关于Code::Blocks建立工程时出现“file not recognized: File format not recognized”“it seems that this project...

    今天,用codeblocks建立完一个工程后,编译时出现了"file not recognized: File format not recognized"的信息 如下图: 点击运 ...

最新文章

  1. 广东省一本大学哪些学计算机,2020年广东省内一本大学有哪些(一本大学一览表)...
  2. P2473 [SCOI2008]奖励关
  3. 【Android 逆向】Frida 框架 ( Frida 框架使用环境 | Frida 框架作用 | Frida 框架模块 )
  4. 【工具】更新云文档办公利器汇总,腾讯新增批量上传多文件上传,云文档对比测试报告...
  5. Qt之进程间通信(IPC)
  6. 智能J IDEA键盘快捷键
  7. typescript利用接口类型声明变量_TypeScript入门指南(基础篇)
  8. Windows 8.1 build 9369 简单安装测试了一下
  9. 图像特征 可视化_使用卫星图像可视化建筑区域
  10. linux手动安装unzip_怎样在Linux下搭建接口自动化测试平台?
  11. 页面加载中的css,JS+CSS实现网页加载中的动画效果
  12. 个人身份信息(PII)是什么?会被恶意使用吗?如何保护它?
  13. 计算机播放音乐自录,怎么录制电脑上正在播放的视频音频
  14. 【新年礼物】分享十个珍藏学习编程的网站,助大家2022如虎添翼
  15. 推荐系统(十一)阿里深度兴趣网络(一):DIN模型(Deep Interest Network)
  16. 成都博兰科技有限公司助宝兰网进入云贵川渝市场 www.beylai.com
  17. 目前UI设计和前端哪个行业更好,女生应该怎么抉择?
  18. 2022年女性精致美学白皮书
  19. 清代国画大师孙温绘全本《红楼梦》系列数字藏品即将上线!
  20. 手机网页图片自适应大小 background-size css 图片全屏 背景尺寸设置

热门文章

  1. JVM,DVM,JIT,AOT,ART理解
  2. f1c100linux系统吗,全志F1C100s怎么样 F1C100s芯片参数介绍
  3. 阿里P8工程师强烈推荐,60本工程师必备读本
  4. android 过滤蓝光软件下载,安卓蓝光过滤器
  5. 迅雷软件测试报告总结范文,软件测试-实验三
  6. 记各常见手机屏幕尺寸
  7. torch.erf()/gelu()/误差函数科普
  8. python实现触摸精灵功能_FRIDA脚本系列(三)超神篇:百度AI“调教”抖音AI
  9. C#实现人民币阿拉伯数字转换成大写金额的代码
  10. Python爬虫系列(五)360图库美女图片下载