有关锁存器和触发器的区别,有很多种不同的说法,但本质上是一个意思,都十分的精辟和精彩,这里整理一下。

解释一、

锁存器是一种对脉冲电平(也就是0或者1)敏感的存储单元电路,而触发器是一种对脉冲边沿(即上升沿或者下降沿)敏感的存储电路。

解释二、

"触发器" 泛指一类电路结构, 它可以由触发信号 (如: 时钟, 置位, 复位等) 改变输出状态, 并保持这个状态直到下一个或另一个触发信号来到时, 触发信号可以用电平或边沿操作.

"锁存器"是触发器的一种应用类型 。强调的是通过触发信号(如时钟)锁存数据输入位。

解释三、

锁存器是一种脉冲电平敏感的存储单元。最主要的特点是具有使能性的锁存电平功能,即在使能信号有效时,可以锁住输出信号保持不变,而在使能信号失效时,输出与输入相同,等效于一个输出缓冲器。

触发器又叫双稳态触发器,随着输入的变化,输出会产生对应的变化。它通常是由至少两个相同的门电路构成的具有反馈性质的组合逻辑电路。应用中为了使触发过程容易控制,而做成由时钟触发控制的时序逻辑电路。

常见的有SR触发器,D触发器,JK触发器。触发器通常有两种状态,保持态和转化态,分别对应两种输入情况,在保持态下输出会维持在当前状态不改变,而在转化态下输出会按规律顺序改变。

关于具体的触发器介绍,看我这几篇博客:

通过仿真和综合认识D触发器(Verilog HDL语言描述D触发器)

通过仿真和综合认识JK触发器(Verilog HDL语言描述JK触发器)

通过仿真和综合认识T触发器(Verilog HDL语言描述T触发器)

这贴心的触发器三联,足够掌握了吧。

锁存器和触发器的区别相关推荐

  1. 锁存器 D触发器 寄存器区别 详细区别解释 Latch D Flipflop register

    1. 锁存器 定义: 对脉冲电平敏感,在时钟脉冲的电平作用下改变状态. 优点: 面积小.锁存器比FF快,所以用在地址锁存是很合适的,不过一定要保证所有的latch信号源的质量,锁存器在CPU设计中很常 ...

  2. FPGA锁存器、触发器、寄存器和缓冲器的区别

    一.锁存器 锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才 ...

  3. jk触发器改为四进制_锁存器、触发器、寄存器和缓冲器的区别

    一.锁存器 锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才 ...

  4. 锁存器 触发器 寄存器 区别

    一.锁存器 锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才 ...

  5. 锁存器、触发器、寄存器和缓冲器的区别

    目录 一.锁存器 二.触发器 三.寄存器 四.移位寄存器 五.总线收发器/缓冲器 六.锁存器与触发器的区别 七.D触发器和D锁存器的区别 八.寄存器与锁存器的区别 一.锁存器 锁存器(latch)-- ...

  6. 简单理解锁存器和触发器的主要区别

    一.锁存器 锁存器(latch)在电平信号的作用下改变状态,是一种对脉冲电平(即0或者1)敏感的存储单元电路.锁存器的数据存储动作取决于输入使能信号的电平值,仅当锁存器处于使能状态时,输出数据才会随着 ...

  7. 【FPGA - 基础知识(二)】锁存器、触发器

    目录 一.双稳态器件 二.常见的锁存器 2.1 SR锁存器 2.1.1 输入R=0,S=0(保持) 2.1.2 输入S=1,R=0(置位,set) 2.1.3 S=0,R=1(复位,reset) 2. ...

  8. 【FPGA】锁存器、触发器和寄存器

    目录 一丶锁存器 1.什么是锁存器 2.锁存器工作原理 3.锁存器优缺点 二丶触发器 1.什么时触发器 2.触发器工作原理 3.代码实现 三丶寄存器 四丶锁存器与触发器的区别 一丶锁存器 1.什么是锁 ...

  9. 锁存器、触发器和寄存器区别对比-基础小知识(十)

    文章目录 基本概念 锁存器与触发器区别 锁存器与触发器不同应用场合 锁存器产生条件 锁存器危害 参考文档 基本概念 锁存器(latch):.在电平信号的作用下改变状态,是一种电平触发的存储单元.锁存器 ...

最新文章

  1. PHP合并数组的方法
  2. 采购AI/ML安全工具前要先回答这11个问题
  3. oracle sys 查询语句,Oracle EBS-SQL (SYS-7):表单个性化查询.sql
  4. linux杀气所以进程,Linux使用kill命令的注意事项
  5. 实时音视频助力在线教育风口
  6. Android开发之EditText输入框限制输入数字和字母的实现方式
  7. CAP 2.6 版本发布通告
  8. 设置UIImage的边框和圆角大小以及颜色
  9. Python判断是否json是否包含一个key
  10. 零基础学python用哪本书好-零基础学习python推荐几本书?
  11. java编写万年历的报告,用java编写的万年历代码
  12. python 自动化合并 ts 视频流
  13. 谈到电影,我们收获了什么
  14. 有一些C++基础的同学们如何喝下你的第一杯咖啡?
  15. 计算机网络——计算机网络中的安全
  16. 软考新思维--2017年上半年信息系统项目管理师上午试题分析与答案(试题46-50题)
  17. Ansible 实现批量建立互信
  18. dnet: Failed to open device lo0
  19. 硬件电路板调试方法及步骤
  20. 三行代码解决全部网页木马

热门文章

  1. 伍迷创意随想集 之 网游与旅游结合新玩法
  2. V5SHOP网店系统V 8.0 仿京东模板
  3. 读取并修改App.config文件(转载)
  4. 联想服务器 重装系统u盘启动,联想_Lenovo BIOS Setup Utility 设置U盘启动教程
  5. 如何用staruml画包图_StarUML的9种图
  6. dev c++自动排版_再也不头疼文字排版了
  7. java51游戏_Java作业实践(一)魔板游戏
  8. 计算机原理及应用第三版pdf,计算机原理及运用.pdf
  9. 使用舵机PWM信号控制直流电机的转动
  10. 华北赛区,我们来啦!