Tcl介绍
Vivado是Xilinx最新的FPGA设计工具,支持7系列以后的FPGA及Zynq 7000的开发。与之前的ISE设计套件相比,Vivado可以说是全新设计的。无论从界面、设置、算法,还是从对使用者思路的要求,都是全新的。看在Vivado上,Tcl已经成为唯一支持的脚本。

Tcl(读作tickle)诞生于80年代的加州大学伯克利分校,作为一种简单高效可移植性好的脚本语言,目前已经广泛应用在几乎所有的EDA工具中。Tcl 的最大特点就是其语法格式极其简单甚至可以说僵化,采用纯粹的 [命令 选项 参数] 形式,是名副其实的“工具命令语言”( 即Tcl的全称Tool Command Language)。

实际上Tcl的功能可以很强大,用其编写的程序也可以很复杂,但要在Vivado或大部分其它EDA工具中使用,则只需掌握其中最基本的几个部分。

通过Tcl, Vivado具备了强大的设计分析能力
• 快速定位设计中的问题
• 减少设计迭代周期

Windows Key + E 打开文件浏览器
路径:E:\VivadoProject\ZynqHW_Lab3_Solution\ZynqDesign\ZynqDesign.srcs\sources_1\bd\Z_system

准备工作
首先需要设置环境变量,在path环境变量中添加Vivado的路径,路径设置到bin文件夹,例如C:\Xilinx\Vivado\2014.1\bin

在Windows界面下,“开始”->“运行”,输入cmd,打开windows命令行终端。这个时候 有三个选择:

1. 输入“vivado”,启动Vivado GUI界面,和点击桌面上的图标启动Vivado没什么区别;事实上,直接点击桌面图标,就是调用windows batch命令启动vivado

2. 输入“vivado -modebatch -source file.tcl”,从脚本批处理的形式启动Vivado,运行后直接执行file.tcl文件

3. 输入“vivado -modetcl”,启动Tcl交互式命令行。

注:需要先配置环境变量,否则在cmd的时候会出现

Tcl是一种很容易用户自己定义命令的脚本语言,Xilinx在此基础上增加了大量Vivado的命令。对于Vivado自定义的非标准的Tcl命令,输入该命令后,继续输入空格+“-help”,可以查到该命令的详细解释。

环境变量的配置:
环境变量一般是指在操作系统中用来指定操作系统运行环境的一些参数,比如临时文件夹位置和系统文件夹位置等。这点有点类似于DOS时期的默认路径,当你运行某些程序时除了在当前文件夹中寻找外,还会到设置的默认路径中去查找。简单地说这里的“Path”就是一个变量,里面存储了一些常用命令所存放的目录路径

右击计算机,选择属性,找到并打开“高级系统配置”。在接下来的页面中点击“高级系统配置”,打开“系统属性”窗口。

高级——环境变量
在path环境变量中添加Vivado的路径,路径设置到bin文件夹,此时,环境变量并没有生效,需要重启计算机或者重启explore.exe,重启explorer.exe,环境变量配置完成并生效

开始使用tcl模式

Tcl console

输入tcl命令行,cd +文件路径……打开工程

注意·:在文件浏览器路径复制的文件路径斜杠不对,需要换成右斜杠。。。

Vivado中TCL的使用相关推荐

  1. 【 FPGA 】Vivado中常用的5个Tcl命令

    本博文内容是学习课程做的笔记,只记录了一些,由于没有基础,且讲的也不是太清楚,只吸收了一部分内容,记录下来备忘. 目录: Objects的基本概念? 首先讲解Vivado中objects的基本概念,然 ...

  2. Vivado中的TCL脚本语言

    本文介绍了Tcl在Vivado中的基础应用,希望起到抛砖引玉的作用,指引使用者在短时间内快速掌握相关技巧,更好地发挥Vivado在FPGA设计中的优势. Vivado TCL脚本语言 使用Tcl作为它 ...

  3. Tcl在Vivado中的使用

    http://blog.chinaaet.com/detail/36014 Vivado是Xilinx最新的FPGA设计工具,支持7系列以后的FPGA及Zynq 7000的开发.与之前的ISE设计套件 ...

  4. vivado中设置多线程编译

    VIVADO中一个run编译时支持的线程数如下表:(综合时一般是2线程) Place Route Windows默认 2 2 Linux默认 4 4 Windows开启maxThreads=8 4 4 ...

  5. 如何阅读 Vivado中的Timing Report

    <XDC约束技巧>系列中讨论了XDC约束的设置方法.约束思路和一些容易混淆的地方.我们提到过 约束是为了设计服务,写入Vivado中的XDC实际上就是用户设定的目标 ,Vivado对FPG ...

  6. Vivado中IP核生成文件

    正像我之前所说的,较XPS的IP核而言,Vivado IP核在创建.打包.使用等各方面都更加简单便捷,同样的Vivado IP核抛弃了以往复杂的IP核文件组织模式,仅使用一个component.xml ...

  7. 在Vivado中,使用锁定增量编译技术进行增量综合布局布线

    为了实现对FPGA系统的place.route的锁定,只通过vivado中setting中的增量设置是不够的,这里需要加入锁定设计.其具体步骤如下: 打开vivado软件,在弹出的界面中,选择如下菜单 ...

  8. vivado中交织模块_搞定Markdown中的图片,一劳永逸的方法!

      经常用markdown写博客的朋友一定都体会过markdown图片的蛋疼之处,并不是说图片的这用引用方式不好,而且图片要放到什么服务器上?   以我个人为例,写了一篇markdown,想在不修改任 ...

  9. vivado中bit文件怎么没有生成_「超实用」一分钟学会用最小存储空间保存Vivado工程...

    在平常调试FPGA的过程中,大家会发现Vivado工程动辄数百兆大小,甚至几个G都很常见.如果调试的版本过多,就连几个T的硬盘也不够用.怎么办呢?其实,Vivado自带了一种使用tcl命令保存viva ...

最新文章

  1. 应用于真实世界机器人的强化学习
  2. 黑苹果安装 this is an unknown cpu model 0x3a
  3. 《VMware vSphere企业运维实战》——2.6 使用vCenter Converter迁移物理机到虚拟机
  4. 痛并快乐着看火箭比赛
  5. java源码导入eclipse_如何导入外部的源码到eclipse中
  6. 1.8 编程基础之多维数组 09 矩阵乘法 6分 python
  7. 技术社区_如何加入技术社区
  8. Java学习笔记2.6.2 数组 - 二维数组
  9. MySQL 8数据导入 MySQL 5.7
  10. 腾讯发力研发文化升级,宣布加入Linux基金会TODO 工作组
  11. JQuery访问json、js等文件
  12. 【原创】matlab 2010的下载和安装
  13. 将多个BIN文件合成一个
  14. setw()使用方法
  15. emi软件测试,电磁干扰EMI的测试标准及应用
  16. 光纤猫上的LOS灯红色闪烁解决方法
  17. 游戏3d模型如何放到计算机中,三维建模技术在三维游戏中的应用
  18. 入门版Linux上恢复误删除的文件
  19. 关于上海四金计算和工资对照表(转载)
  20. php 获取月份的周数,PHP获取当前月份的周数只能使用php

热门文章

  1. 智能车竞赛技术报告 | 智能车视觉 - 首都师范大学 - 首师智能视觉
  2. 5月15日直播预告:英飞凌AURIX™培训—图像处理、实车演示等热点问题
  3. 条形压电陶瓷执行器件和高压驱动器
  4. 运算放大器在超高频信号作用下的偏移量的变化
  5. 欠采样的基本概念和现象
  6. 拔最多的鹅毛,听最少的鹅叫
  7. ios微信登录不上服务器,iOS微信授权登录
  8. java中刷新页面的js,[Java教程]js页面刷新之实现框架内外刷新(整体、局部)
  9. python基础课程第12章,Python基础教程学习笔记 | 第12章 | 图形用户界面
  10. java acr122 读取数据_使用ACR122U 从NTAG203Chip 读取数据