FPGA的倍频用代码来实现比较复杂,简单的方法就是使用PLL核。

PLL全称是Phase Locked Loop,即锁相环,是一种反馈控制电路。PLL对时钟网络进行系统级的时钟管理和偏移控制,具有时钟倍频、分频、相位偏移和可编程占空比等功能。

打开工程FreDivDou,

点击PROJECT MANAGER中的IP Catalog,

在IP Catalog的Search中输入clock,双击下面出现的FPGA Feathers and

Design——Clocking——Clocking Wizard,

在Clocking Options界面内,Primitive中选择PLL,Input Clock Information中将Primary的Input Frequency改为50MHz,点击OK,

在Output Clocks界面中,将clk_out1的Output Freq改为100MHz(50Mhz的2倍频),将clk_out2的Output

Freq改为150MHz(50Mhz的3倍频),点击OK,

点击OK,

点击Generate,生成PLL的ip核clk_wiz_0,

双击Sources——IP Sources——clk_wiz_0——Instantiation Template——clk_wiz_0.veo,在clk_wiz_0.veo中

显示该IP核的实例化模板。

在FreDivDou.v中继续添加verilog代码,

moduleFreDivDou(

input  clk_i,

input  rst_n_i,

outputdiv2_o,

outputdiv3_o,

outputdiv4_o,

outputdou2_o,

outputdou3_o

);

wire locked;

clk_wiz_0 instance_name

(

// Clock out ports

.clk_out1(dou2_o),     // output clk_out1

.clk_out2(dou3_o),     // output clk_out2

// Status and control signals

.reset(~rst_n_i), // input reset,这里要加上取反负号,是因为生成PLL时,默//认选择的是高电平有效,而在本电路中,初始上电时rst_n_i为低电平,之后一直保持

//低电平

.locked(locked),       // output locked

// Clock in ports

.clk_in1(clk_i));      // input clk_in1

Endmodule

0人点赞

日记本

vivado代码编写——倍频(使用IP核)相关推荐

  1. 手把手教你在Vivado创建一个RAM的IP核并使用ILA工具验证

    文章目录 一.RAM实验背景知识 RAM的定义 RAM的分类 实验设计 二.创建一个新的工程 三.创建RAM IP核 四.编写代码 五.创建ILA IP核并生成比特流文件 六.将程序下入芯片,并通过I ...

  2. vivado中复数乘法器的ip核调用及其仿真的详细介绍

    复数乘法器的ip核可在下图的​​​​​中找到 ip核的输入配置和输出配置如下图所示 当我们在选择multplier construction的Use LUTs选项时,选择的是三次乘法的算法,相比于四次 ...

  3. 如何在Vivado创建一个FIFO的IP核并使用ILA工具验证

    文章目录 一.FIFO实验背景知识 FIFO的定义 FIFO的分类 一般FIFO的结构(在这里对引脚进行说明,下面实验过程中直接引用这里的说明) FIFO的使用框图 实验目的 二.创建一个新的工程 三 ...

  4. Xilinx Vivado复数乘法器Complex Multiplier IP核调用及其仿真

    Complex Multiplier IP核的使用,尤其是输出数据的截位到底怎么弄,我感觉官方文档PG104写的不清楚.我个人在网上也没找到好的讲解文章,就自己琢磨了下,然后写成文档记录在此,方便将来 ...

  5. Vivado实现异步时钟FIFO -IP核使用

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 目录 前言 一.实验内容 二.工程代码 1.顶层模块 2.写模块 3.读模块 前言 FIFO的英文全称是First In First ...

  6. FPGA数字信号处理(十九)Vivado CIC IP核实现

    该篇是FPGA数字信号处理的第19篇,题接上篇,本文详细介绍使用Vivado自带的CIC IP核进行设计的方法.关于单级CIC滤波器.多级CIC滤波器的Verilog HDL设计以及Quartus中C ...

  7. 基于vivado DDS ip核的DDS信号发生器(可调频调相)

    基于Vivado DDS ip核的DDS信号发生器: 在Vivado软件中调出DDS ip核进行设置,很多参数可以参考xilinx官方手册,比较重要的是System Clock系统时钟和Phase W ...

  8. vivado创建自定义IP核

    环境:vivado 18.2 一 创建IP核 1.在tool–>Create and Package New IP 选择保存路径 定义IP核信息,可以添加一些备注信息 封装IP (IP创建完成后 ...

  9. Xilinx VIVADO 中 DDR3(AXI4)的使用(1)创建 IP 核

    1.前言 DDR3 SDRAM 简称 DDR3,是当今较为常见的一种储存器,在计算机及嵌入式产品中得到广泛应用, 特别是应用在涉及到大量数据交互的场合,比如电脑的内存条.DDR3的时序相当复杂,对DD ...

最新文章

  1. python对英语和数学的帮助-英语和数学都不好,但是我想学Python编程可以吗?
  2. Apache Spark机器学习.1.7 机器学习工作流示例
  3. list集合去重复元素
  4. 磁盘管理,磁盘挂在mount,挂载光盘镜像文件,挂在U盘,umount 卸载命令, dd
  5. [PAT乙级]1039 到底买不买
  6. oracle11g AUD$维护
  7. “约见”面试官系列之常见面试题之第六十二篇之IE和兼容下写法(建议收藏)
  8. java 多态_Java面向对象 —— 多态
  9. google protobuf使用
  10. ajax中html的属性,jQuery Ajax加载html数据正常,但属性似乎'不可读'
  11. FFmpeg源代码简单分析:avcodec_close()
  12. 在日本租房有什么经验建议分享?
  13. 菜鸟教程的Linux命令大全
  14. 远程升级单片机程序设计思路
  15. LCD LVDS的一些术语定义
  16. 企业绩效管理的五种方法,你们是哪种?
  17. Android 获得联系人并排序
  18. E-NCAP增加黑暗场景下AEB测试,红外夜视或成主流配置
  19. 网易pop3服务器没有响应,***什么是POP3、SMTP和IMAP?(网易邮箱)
  20. Telerik 2021 R2 SP1 Retail Full Version

热门文章

  1. 关于sip和sip的客户端
  2. MYSEE:Sp数据结构分析初稿
  3. 使用Thunderbird管理多个邮件账号
  4. CentOS 7安裝视频解码器
  5. 为地震中丧身的同胞哀悼
  6. scrapy 异步存储mysql大量数据_scrapy爬取的数据异步存储至MySQL
  7. Win常见文件后缀名
  8. Plücker矩阵相关资料2
  9. 为什么要“除夕”,原来是内存爆了!
  10. 编写JavaScript程序实现:图像浏览器的功能