文章目录

  • 一、RAM实验背景知识
    • RAM的定义
    • RAM的分类
    • 实验设计
  • 二、创建一个新的工程
  • 三、创建RAM IP核
  • 四、编写代码
  • 五、创建ILA IP核并生成比特流文件
  • 六、将程序下入芯片,并通过ILA观察波形

一、RAM实验背景知识

RAM的定义

RAM的英文全称是Random Access Memory,即随机存取存储器,它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址中读出数据,其读写速度是由时钟频率决定的。RAM主要用来存放程序及程序执行过程中产生的中间数据、运算结果等。

RAM的分类

单端口:只有一个端口,读写数据不能同时进行,共用数据通道。

伪双端口:拥有两个数据通道,一个用来写一个用来读。

真双端口:拥有两个数据通道,一个用来写一个用来读。

本次实验使用A7系列,是真双端口

实验设计

  1. 当我们想驱动一个RAM的IP核时,我们需要提供六个信号:clk(时钟)、rst(复位信号)、wea(读写切换)、data(数据线)、addr(地址线)、en(ram的使能)
  2. 在本次代码中,我们使用RAM这个IP核时,需要一个读写模块,里面有一个读写计数器(最大值64,0-31读数据,32-63写数据,在31时改变读写切换的值),数据计数器(0-31作为写入数据),地址计数器(0-31)用这三个计数器来对应上面六个输入。

二、创建一个新的工程

不会新建工程的可以看一下我之前的博客,这里只展示一些简略的新建工程过程。将工程命名为ip_ram。

新建工程完成

三、创建RAM IP核

双击点开上图3的位置


下面这个页面是Vivado自动生成的,不用改

最后一页可以检查一下自己的设置

看到下面的图片,说明IP核已经创建完成了

四、编写代码

创建ip_ram.v(设计文件)、ram_rw.v(读写模块,为什么创建这个可以看上面的实验设计)、ram_xdc.xdc(时序约束文件)

从.veo文件中可以把IP核的实例粘贴出来

ip_ram.v代码如下所示(这里我放的是添加完ILA的完整代码)

`timescale 1ns / 1psmodule ip_ram(input sys_clk,input sys_rst_n);wire         ram_en        ;wire         ram_wea       ;wire [4 : 0] ram_addr      ;wire [7 : 0] ram_wr_data   ;wire [7 : 0] ram_rd_data   ;ram_rw ram_rw_u(.clk         (sys_clk)  ,        .rst_n       (sys_rst_n)  ,      .ram_en      (ram_en)  ,     .ram_wea     (ram_wea)  ,    .ram_addr    (ram_addr)  ,   .ram_wr_data (ram_wr_data)  ,.ram_rd_data (ram_rd_data) );blk_mem_gen_0 blk_mem_gen_0 (.clka(sys_clk),            // input wire clka.rsta(sys_rst_n),            // input wire rsta.ena(ram_en),              // input wire ena.wea(ram_wea),              // input wire [0 : 0] wea.addra(ram_addr),          // input wire [4 : 0] addra.dina(ram_wr_data),            // input wire [7 : 0] dina.douta(ram_rd_data)          // output wire [7 : 0] douta// .rsta_busy()  // output wire rsta_busy
);endmodule

ram_rw代码如下所示(这里我放的是添加完ILA的完整代码)

`timescale 1ns / 1psmodule ram_rw(input               clk,input               rst_n,output              ram_en,output              ram_wea,output reg [4 : 0]  ram_addr,output reg [7 : 0]  ram_wr_data,input [7 : 0]       ram_rd_data);reg [5 : 0] rw_cnt;assign ram_wea = (rw_cnt <= 6'd31 && ram_en) ? 1'b1:1'b0;assign ram_en = rst_n;always@(posedge clk or negedge rst_n) beginif(!rst_n) rw_cnt <= 1'b0;else if(rw_cnt == 6'd63) rw_cnt <= 6'b0;else rw_cnt <= rw_cnt + 1'b1;endalways@(posedge clk or negedge rst_n) beginif(!rst_n) ram_wr_data <= 1'b0;else if(rw_cnt <= 6'd31) ram_wr_data <= ram_wr_data +1'b1;else  ram_wr_data <= 1'b0; endalways@(posedge clk or negedge rst_n) beginif(!rst_n) ram_addr <= 1'b0;else if(ram_addr <= 5'd31) ram_addr <= ram_addr +1'b1;else  ram_addr <= 1'b0; endila_0 your_instance_name (.clk(clk), // input wire clk.probe0(ram_en), // input wire [0:0]  probe0  .probe1(ram_wea), // input wire [0:0]  probe1 .probe2(ram_addr), // input wire [4:0]  probe2 .probe3(ram_wr_data), // input wire [7:0]  probe3 .probe4(ram_rd_data) // input wire [7:0]  probe4
);endmodule

ram_xdc.xdc代码如下所示(这里我放的是添加完ILA的完整代码)

create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS15} [get_ports sys_clk]
set_property -dict {PACKAGE_PIN U7 IOSTANDARD LVCMOS15} [get_ports sys_rst_n]

五、创建ILA IP核并生成比特流文件




这里实际上需要复制.veo的实例去更改代码,完整代码我贴到上一章了
点击生成bit流文件

出现下面这个界面代表bit流生成成功,点击取消就可以

六、将程序下入芯片,并通过ILA观察波形


下载后ILA自动打开,可以看到,读写时序以及读写数据都正确,实验成功

手把手教你在Vivado创建一个RAM的IP核并使用ILA工具验证相关推荐

  1. 如何在Vivado创建一个FIFO的IP核并使用ILA工具验证

    文章目录 一.FIFO实验背景知识 FIFO的定义 FIFO的分类 一般FIFO的结构(在这里对引脚进行说明,下面实验过程中直接引用这里的说明) FIFO的使用框图 实验目的 二.创建一个新的工程 三 ...

  2. 手把手教你使用nodejs编写一个【使用远程仓库模板,快速创建项目模块】的cli(命令行)

    目录 实现步骤 初始化cli项目 项目目录 创建交互式命令 拉取远程仓库代码,读取仓库中的模板 拉取远程仓库代码 ora 终端 loading 读取仓库中的模板 将选择的模板复制写入目标项目 Comm ...

  3. python编程例子 输入 输出-推荐 :手把手教你用Python创建简单的神经网络(附代码)...

    原标题:推荐 :手把手教你用Python创建简单的神经网络(附代码) 作者:Michael J.Garbade:翻译:陈之炎:校对:丁楠雅 本文共2000字,9分钟. 本文将为你演示如何创建一个神经网 ...

  4. python界面设计-手把手教你用Python设计一个简单的命令行界面

    原标题:手把手教你用Python设计一个简单的命令行界面 对 Python 程序来说,完备的命令行界面可以提升团队的工作效率,减少调用时可能碰到的困扰.今天,我们就来教大家如何设计功能完整的 Pyth ...

  5. 手把手教你用C#写一个刷屏软件

    手把手教你用C#写一个刷屏轰炸软件 成品展示 环境准备 新建项目 程序思路 程序部分 完整代码 成品展示 环境准备 VS2019 新建项目 打开界面绘制 打开工具箱开始放置按钮标签以及文本框 最后设计 ...

  6. IP门禁:手把手教你用PHP实现一个IP防火墙

    最近我遇到一个需求,我的一台服务器总是遭到端口扫描和恶意登录攻击,对此可以怎么办呢?似乎除了内网隔离.增强密码认证.证书登录.设置防火墙iptables,网上找不到什么别的方案,对了,还用堡垒机的方案 ...

  7. 超详细——手把手教你用threejs实现一个酷炫的模型发光扫描效果(三)

    上一篇文章 voidjay,公众号:web前端可视化超详细--手把手教你用threejs实现一个酷炫的模型发光扫描效果(二) 上一篇文章已完成基本效果的实现,本文则完成整个项目的灵魂:发光效果以及模型 ...

  8. 手把手教你用ESP32 制作一个游戏机,小白可上手

    MAKER: JuanF92/译:趣无尽 相逢已是初识 MicroByte 是一款微型主机,能够运行 NES.GameBoy.GameBoy Color.Game Gear 和 Sega Master ...

  9. 如何用python开发游戏_手把手教你用Python完成一个控制台小游戏-阿里云开发者社区...

    很多人想学Python程序设计或者已经了解过一点Python程序设计基础,却没办法开发出一个项目. 今天,通过演示一个简单的控制台小游戏制作,手把手教你如何用Python编写一个游戏程序,即便你是个新 ...

最新文章

  1. vb listview 修改
  2. 将div垂直居中放置在另一个div中[重复]
  3. 《LoadRunner 12七天速成宝典》—第2章2.1节开始
  4. Windows下安装maven-nexus私服
  5. 如何在同一台计算机上安装多个Java版本
  6. oracle昨日时间,。。今日,昨日,上周,本月,本年,按时间统计总金额
  7. linux下c语言编程gedit,Ubuntu Linux下实现Gedit支持NesC语法高亮
  8. 武汉市江岸区2021年高考成绩查询,2021年武汉各区一、二、三批次高中有哪些(名单)...
  9. mysql实现知识图谱_基于电影知识图谱的智能问答系统学习记录
  10. 熟练运用计算机进行数学教案,小学数学与信息技术整合教案
  11. mongodb配置文件启动linux,Linux运维知识之Mongodb启动方法:设定参数启动;从设置文件启动...
  12. 项目:jSon和Ajax登录功能
  13. 软件立项申请报告模板
  14. Jmeter性能测试工具实现对数据库mysql进行连接并压测
  15. tcp服务器修改ip,修改本地连接的TCP/IP属性设置
  16. Win10 使用 Virtual Box 安装 Ubuntu 虚拟机
  17. android studio实现记住密码,Andriod Studio实现保存QQ密码功能(案例代码详解)
  18. 算法复习-Akari Puzzle
  19. 关于小米文件管理器的介绍及源码下载
  20. SQL中查询按季度分组的数据

热门文章

  1. CDO 按照时间步长截取文件
  2. freeswitch 对接IMS 多网口方案
  3. snort规则检测引擎初探
  4. 游侠修改服务器怎么设置,修改机车游侠服务器地址
  5. 联通研究院联合中兴通讯发布“5G+区块链”应用白皮书
  6. Oracle时间戳类型
  7. 利用Python实现QQ、微信消息定时发送/自动发送【支持发送文件】
  8. Access数据库 实现分页查询
  9. golang结构体的坑之大小写
  10. 小长假出游攻略之新加坡圣淘沙