文档介绍:

安尧-2013434158-计算机组成原理8位加法器实验报告.docEvaluationWarning:ThedocumentwascreatedwithSpire..河北大学计算机组成原理_实验报告学院计算机科学与技术学院 年级2013专业网络工程学号2013434158 姓名安尧 实验日期2015-10-22实验地点cl-228指导教师左丽娜实验项目 8位行波进位加法器 成绩 一、实验目的:1.理解加法器的原理。2.掌握各种常见的加法器的设计方法。二、实验原理:本实验中,用8个全加器实现8位的串行波进位加法器。所用的全加器A部逻辑如全加器的W个基木公式,如图1。将8个全加器串联起来,也就是说低位全加器的进位输出连到和邻的高位全加器的进位输入,就构成了8位加法器。图2所示的是实验中用到8位加法器,A+B的和sum以及最后的进位Cout。电路:ab—abCoutCinCins11位全加器Coutsl S7Cout功能:实现8位数相加A+B=sum(CarryOut)。描述方法:端口8位加法器端口port(ci :instdlogic; //输入a,b:instd_logic_vector(7downto0);//输入s :outstd_logic_vector(7downto0); //输出co:outstdlogic);信号signalaa:std_logic_vector(8downto0);//aabbss直接设置力九位的不用考虑八位进位问题。signalbb:std_logic_vector(8downto0);signalss:tor(8downto0);三、实验步骤:(1)打开QuartusII,参照3.4节,安装ByteBlasterll<>(2)将子板上的JTAG端口和PC机的并行口用下载电缆连接。打丌试验台电源。(3)执行Tools^Programmer命令,将adder8.sof卜载到FPGA中,注意在执行Programmer屮,应在program/configure下的方框中打钩,然后下载。(4)在试验台上通过模式开关选择FPGA-CPU独立的调试模式010.U!实验现象及分析:本实验实现八位操作数和加A+B=sum(CarryOut)<>输入输出规则对应如下:输入的8位操作数A7〜A0对应的开关SD15〜SD8.输入的8位操作数B7〜B0对应开关SD7〜SDO.最低位进位Cin对应开关SAO.和sum7~sum0对应灯A7~A0,最高位进位CarryOut对座灯A8.如(00000000)+(11111111)=(11111111),CarryOut=O.(1)波动开关SD15〜SD8输入8位操作数I(A7〜A0)00000000,SD7〜SDO输入8位操作数(B7〜B0)111111111,SAO输入Cin。(2)观察和sum(灯A7~A0),CarryOut(灯A8),填入表6-14屮,并检查结果是否正确。操作数1操作数2CinSumCarryOut101111110100111100000111001010101010101010101010101111110000000011110111111110

内容来自淘豆网www.taodocs.com转载请标明出处.

8位串行加法器 计算机组成原理,安尧-2013434158-计算机组成原理8位加法器实验报告.doc...相关推荐

  1. 计算机组成原理上机实验报告.doc,计算机组成原理第二次上机实验报告.doc

    <计算机组成原理第二次上机实验报告.doc>由会员分享,提供在线免费全文阅读可下载,此文档格式为doc,更多相关<计算机组成原理第二次上机实验报告.doc>文档请在天天文库搜索 ...

  2. 计算机组成原理微控制设计实验总结,计算机组成原理微程序控制器实验报告.doc...

    计算机组成原理微程序控制器实验报告.doc 计算机组成原理实验报告三:微程序控制器实验?? 2011-05-06 01:00:09|??分类: 实验报告 |??标签:实验??微程序??字段??微指令? ...

  3. 计算机组成原理实验报告6.2,计算机组成原理实验报告.doc

    文档介绍: 计算机组成原理实验报告.doc计算机组成原理 实验报告 目录 实验一寄存器实验 2 实验内容1:A,W寄存器实验 2 实验内容2:R0,R1,R2,R3寄存器实验 3 实验内容3:MAR地 ...

  4. 计算机组成原理fc和fz,合肥工业大学计算机组成原理实验报告(DOC)

    合肥工业大学计算机组成原理实验报告(DOC),合肥工业大学计算机组成原理,合肥工业大学计算机组成原理试卷,计算机组成原理实验pdf,计算机组成原理微程序,计算机组成原理实验报告,计算机组成原理知识点, ...

  5. 计算机组成原理移位图,计算机组成原理移位运算实验报告.doc

    计算机组成原理移位运算实验报告.doc 计算 机组成原理实验五 移 位运算实验 姓名 陈衍席 学号1205110125 网工 1202 [实验环境][实验环境] 1. Windows 2000 或 W ...

  6. 计算机组成原理实验报告十,合肥工业大学计算机组成原理实验报告.doc

    合肥工业大学计算机组成原理实验报告.doc (27页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.90 积分 实验一 基本运算器实验一.实验目的了 ...

  7. 计算机操作系统安装实验报告,计算机操作系统实验报告.doc

    计算机操作系统实验报告.doc (12页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.9 积分 计算机操作系统实验报告实验一一.实验目的 在单处理 ...

  8. 计算机综合布线实验报告,综合布线设计实验报告.doc

    综合布线设计实验报告.doc 桂林电子科技大学综合布线实验报告实验名称布线工程图设计制作实验辅导员意见电子信息工程系通信技术专业班第2实验小组作者张三学号091同作者辅导员实验日期2011年5月31日 ...

  9. 计算机绘图图框实验报告,制图基础及计算机绘图实验报告.doc

    实验报告电子文档格式: 班级文件夹\学号文件夹\学号实验报告.doc 学号平面图形.dwg 学号三维模型.dwg 如B130201班的所有同学实验报告均放置在名为"B130201" ...

  10. 计算机绘图图框实验报告,CAD实验报告DOC

    <CAD实验报告DOC>由会员分享,可在线阅读,更多相关<CAD实验报告DOC(31页珍藏版)>请在人人文库网上搜索. 1.实验报告课程名称:制图基础及计算机绘图学生姓名:班级 ...

最新文章

  1. Informatica如何利用数据3.0助力业务
  2. python输入123输出321_C语言编程:输出一个3位整数的逆序数,如输入123,输出321....
  3. 绿联网卡转接mac设置_物联网卡这样设置一下上网全程4G!建议收藏!
  4. java时间错误_更改操作系统时间时睡眠()中的Java错误:任何解决方法?
  5. 【转】多线程之有状态对象和无状态对象
  6. js 将video的第一帧 转为图片
  7. 怎么用python画房子_怎么用python画小猪佩奇
  8. hbase插入数据语句_记一次 spark thriftserver 插入数据到hbase 的异常
  9. java: 程序包org.springframework.boot不存在
  10. spring boot(三)Junit 测试controller
  11. 【C++】智能指针(auto_ptr,shared_ptr,unique_ptr)及 shared_ptr 强引用原理
  12. Android 系统各个版本上https的抓包
  13. SYNOPSYS DDR VIP use note
  14. idea 远程调试resin
  15. python批量转换:未知类型二进制净生产力(NEP)遥感定量反演产品数据的打开,转换为tif,可用于通用GIS、遥感软件打开。
  16. 桌面组件开发学习笔记
  17. 易语言如何注入 c dll,易语言注入dll调用函数的方法
  18. 移动魔百盒CM211-1_YS代工_S905L3B_RTL8822C_线刷固件包
  19. element-UI 图标点击切换
  20. 数字后端基本概念介绍Shape Blockage

热门文章

  1. 怎样HTML做图片画廊,42个jQuery图片画廊插件
  2. 通信原理教程chapter1
  3. U盘中的SanDiskSecureAccess可以删除么?删除后影响U盘的使用么?
  4. 服务器延迟和时间偏移怎么设置,服务器时间经常不对如何处理
  5. phpstudy没有安装VC11、VC14运行库
  6. Smart View for Office
  7. 数据库系统概论第五版学习笔记
  8. 蓝桥杯c语言本科试题,蓝桥杯c语言试题2015(3)
  9. 将微信数据提取为exel表格(2022年版)免root 保姆级教程
  10. 数据库基础知识(学习笔记)