一、verilog扫盲文
1. 掌握verilog的秘诀是: 建模和时序
2. C语言和verilog语言的区别

3. 综合语言常用的关键字举例

3. always@( )的多样性


4. verilog语言的结构
verilog语言的结构非常的自由,
初级建模的基本单元有:
1)功能模块
2)控制模块(协调工作、管理运作)
3)组合模块
建模的层次有:
1)基础建模
2)仿顺序操作建模
3)接口建模
4)系统建模
二、建模基础知识
1. 顺序操作和并行操作
2. verilog语言是用来“建模”不是“编程”
1)单片机是一种内部已经固定成形的硬件,需要通过编程给单片
机“灌输灵魂”
2)verilog是一种擅长描述“硬件形状”的语言,可以用来描述单
片机的任何部分如UART资源,定时器资源等;使用图形化方式去
进行设计

FPGA那些事(黑金动力社区)-笔记相关推荐

  1. 【黑金动力社区】【FPGA黑金开发板】Verilog HDL的礼物 - Verilog HDL扫盲文

    声明:本文为原创作品,版权归akuei2及黑金动力社区共同所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 目录 目录         02 第0章  Veril ...

  2. 【连载】【黑金动力社区原创力作】《液晶驱动与GUI 基础教程》 --序言(一)

    声明:本文为原创作品,版权归黑金动力社区(http://www.heijin.org)所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 大家好,我是XiaomaG ...

  3. 【黑金动力社区】【BF531 体验板教程】 第二章 MS531介绍(二)

    黑金动力社区:http://www.heijin.org 一. 工程简介 即使BF531简单易用,但是由于其昂贵的开发工具,使很多初学者望而却步(此章有钱人可以绕道,哈哈).作者去年接了一个项目,摸索 ...

  4. 【黑金动力社区】【531体验板教程】 第三章 开发环境(三)

    黑金动力社区:http://www.heijin.org 一. 引言 在建立工程之前,将我们的实验板通过USB线连接到电脑上,也许这个时候会弹出USB不能用的窗口,如果遇到这个问题,直接从群共享里下载 ...

  5. 【黑金动力社区】【原创博文集锦】《液晶驱动与GUI 基础教程》导读

    [连载][黑金动力社区原创力作]<液晶驱动与GUI 基础教程> --序言(一) [连载][黑金动力社区原创力作]<液晶驱动与GUI 基础教程>--第一章 从这里开始(二) [连 ...

  6. 【黑金动力社区】【FPGA黑金开发板】他和它的故事 之模块的沟通

    第四章 :模块的沟通 4.1 探索Start_Sig 和 Done_Sig 的协调性 Start_Sig 和 Done_Sig 是仿顺序操作中,是模块象征性的信号,如果掌握它们利用Verilog HD ...

  7. 【黑金动力社区】发布MS531第二版 MS531-II

    专业的开发工具,轻松体验DSP强大的速度及处理能力,采用易学易用,性价比超高的ADSP-BF531.可以轻松用于工业控制.视觉系统.音频视频处理.复杂数字信号处理等高附加值系统. 经过两个多月的努力, ...

  8. [笔记]|[FPGA]|[寄存器]|[模拟信号数字信号]《FPGA学习之道》学习笔记(1)

    [笔记]|[FPGA]|[寄存器]|[模拟信号数字信号]<FPGA学习之道>学习笔记(1) 笔记为笔者学习狄超所著**<FPGA之道>**时所写 –仅作为自己后续查看的笔记 文 ...

  9. 驱动你做一件事的动力来源是什么?

    01.驱动力有哪几种 你有没有想过,驱动你做一件事的动力来源是什么? 1)原始驱动力,自然而然地驱动你吃饭睡觉打豆豆. 2)外在驱动力,奖赏你的时候开心,惩罚你的时候担心,"萝卜加大棒&qu ...

  10. 华为FPGA设计高级技巧xilnx篇-阅读笔记2

    华为FPGA设计高级技巧xilnx篇-阅读笔记2 设计技巧 合理选择加法电路 串行进位与超前进位 使用圆括号处理多个加法器 IF语句和Case语句:速度与面积的关系. 减少关键路径的逻辑级数 通过等效 ...

最新文章

  1. 怎么用vc采集ni卡数据_智能水表读数怎么看?家用智能水表怎么安装?
  2. 独家 | 数据科学家的必备读物:从零开始用 Python 构建循环神经网络(附代码)...
  3. Qt中的QTimer
  4. apache.camel_Apache Camel 2.19发布–新增功能
  5. sum怎么用python_python sum()函数和.sum(axis=0)函数的使用
  6. 中国什么时候才能全面普及 1 Gbps 下行的网速?
  7. Python机器学习:KNN算法02scikit-learn中的机器学习算法封装
  8. javascript实现较全功能注册表单
  9. 罗永浩“交个朋友”称供货方蓄意欺诈 将三倍赔偿并致歉消费者
  10. Writing an ALSA Driver(二)
  11. 2021-08-01mysql外键
  12. c语言传送send 函数解析,C语言sendto()函数:经socket传送数据
  13. php识别二维码内容
  14. miui删除内置不卡米教程_MIUI11卸载系统自带软件,无需ROOT也可以
  15. 还是忍不住说说特斯拉的恐怖
  16. 淘宝商品上传API接口
  17. 一朵花的组成结构图_花是由哪几个部分组成的?
  18. ​微信公众平台用户信息相关接口调整通知2021-09-27​
  19. Lenovo系列问题第四期
  20. 爬虫3 requests基础2 代理 证书 重定向 响应时间

热门文章

  1. mysql语句监控工具
  2. php网站静态页面模板,用PHP制作静态网站的模板框架
  3. 如何下载矢量电子地图
  4. MMA8452Q 三轴加速度传感器驱动
  5. 全国大学生“高教杯“成图大赛:关于蜗轮蜗杆快速建模研究(二)
  6. 阿里HSF(服务框架)
  7. win7 IIS安装与配置
  8. linux环境下pandas库的安装,Pandas库的基本使用 pip安装 Series DataFrame
  9. 多元函数的极值及其求法
  10. 截图转文字怎么操作?截图转文字的软件有哪些?