VCS和Verdi学习

  • 1.使用Makefile
  • 2.不使用Makefile直接执行

1.使用Makefile

Makefile参考:Makefile的一些基本应用

  • 首先设置环境变量$Verdi_HOME

  • 建立Makefile 文件
design_name = asyn_fifo
fsdb_name = $(design_name).fsdb
#use command "make vs" to run vsc and product fsdb file
vcs:vcs \-full64 \  #64bits-f flist.f \ include all design file-debug_all \-l com.log \  # output log file+v2k \   -P ${Verdi_HOME}/share/PLI/VCS/LINUXAMD64/novas.tab ${Verdi_HOME}/share/PLI/VCS/LINUXAMD64/pli.a./simv -l sim.log +fsdbfile+$(fsdb_name)  #simulation:product fsdb file and sim log   #use verdi to observe the waveform
verdi:verdi \+v2k \-f flist.f \-ssf  $(fsdb_name) & #use fsdb file#delete all files except .v and makefile
clean: rm -rf `ls | grep -v "Makefile"|grep -v "flist.f" | grep -v "\.v" | grep -v "script.tcl"`
  • 仿真文件添加生成fsdb函数

initial begin
$fsdbDumpfile(“asyn_fifo.fsdb”);
$fsdbDumpvars;
end

  • 执行“make vcs” 编译仿真
  • 执行“make verdi” 打开波形
  • verdi常用快捷键
    ctrl+w: 添加信号到波形图
    h: 在波形窗口显示详细的信号名(路径)
    File>save signal,命名*.rc,下次直接打开rc文件就行
    c/t: 修改信号的颜色(t可以直接切换颜色)
    在波形窗口显示状态机的名字:
    在rtl窗口,tools>Extract internative FSM ,可选first stage(仅展开目前所指定的FSM state),all stage (展开所有的FSM state)
    改变颜色填充波形:
    Tools>waveform>view options>waveformpane> paint waveform with specified color/pattern
    在rtl窗口按x: 标注出信号的值
    z: 缩小波形窗口
    Z: 放大波形窗口
    f: 全屏
    l: 上一个视图
    L: 重新加载设计波形或文件
    n: 向前查找
    N: 向后查找
    ctrl+→: 向右移动半屏
    ctrl+←: 向左移动半屏
    双击信号波形: 跳转到rtl中信号位置,并高亮新号
    b: 跳到波形图开头
    e: 跳到波形图尾部

2.不使用Makefile直接执行

vcs -R -f flist.f -full64 -fsdb -l name.log
verdi -f flist.f -ssf name.fsdb

VCS和Verdi学习相关推荐

  1. vcs与verdi学习记录

    linux命令生成filelist (1)find -name "*.v" > filelist.f 会将当前目录下及子目录中所有.v的文件及路径写到文件filelist.f ...

  2. VCS+dve+verdi仿真

    version2.0 一.VCS+dve: 1. 编写.v文件.以计数器为例. counter.v文件 counter_tb.v文件 2. 终端输入 vcs -full64 counter.v cou ...

  3. Linux下VCS与Verdi联合仿真简易教程及例子示范

    VCS与Verdi联合仿真简易教程及例子示范 最近在公司实习,最近也恰好在学习Linux下的IC设计环境,涉及到了VCS与Verdi联合仿真等内容,也切身感觉到,和学校学习的内容是如此的不同,此篇便来 ...

  4. Ubuntu18.04安装VCS、Verdi、dve全套教程亲测(有成功截图)

    通过下面十个步骤,我们就可以在ubuntu上使用vcs.verdi.dve等软件! 下面是成功截图(为证): 一.安装ubuntu系统,这个不在这里讲了,重点安装VCS.Verdi等软件 下面的过程一 ...

  5. VCS和Verdi的安装解决问题记录

    VCS和Verdi的安装问题记录 安装系统环境 :Centos 7 主要参考如下内容: 从零开始VCS+Verdi 关于CentOS7安装VCS等EDA仿真软件过程中遇到的问题 安装注意事项: 整个过 ...

  6. VCS第一讲:vcs和verdi演示一个简单的验证case

    vcs软件使用方法和verdi怎么使用? 首先需要先成功安装好vcs软件和verdi软件: (如果没有软件,可以私信我,拒绝白嫖) 确认方法: ①在terminal中输入vcs,不会提示缺少licen ...

  7. Linux下VCS和Verdi的联合仿真实例教程

    首先得在Linux下配置好VCS和Verdi的环境,这个方面我就不再这里赘述了,你可以在命令行输下which vcs和which verdi看有没有信息,有信息的话就说明已经安装. 要在Linux下用 ...

  8. verdi使用linux命令,vcs和verdi的调试及联合仿真案例

    环境配置 首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置.在220实验室的服务器上所有软件的运行环境都是csh.所以,所写的脚本也都是csh的语法. 生成波形文件 ...

  9. RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用

    参考博客: 1.搭建属于自己的数字IC EDA环境(三):Centos7安装EDA(vcs2018.verdi2018等)IC工具以及脚本运行第一个工程 2.搭建属于自己的数字IC EDA环境(番外) ...

最新文章

  1. vue data数据修改_史上最强vue总结,万字长文
  2. RAID磁盘阵列——扫盲篇
  3. O365(世纪互联)SharePoint 之站点个性化
  4. 阿里云OSS图片上传类
  5. [51nod1079]中国剩余定理
  6. 操作系统存储器管理实验报告_献上膝盖!华为工程师抛出一份堪称“举世无双”操作系统笔记...
  7. atoi将字符装换为数字
  8. Mobile Terminal无法使用常用Unix命令
  9. request.getRequestDispatcher().forward(request,response)和response.sendRedirect()的区别
  10. thinkpython2e电子书下载_《Think Python 2e》中译版电子书分享
  11. IntelliJ IDEA 调试技巧,比 Eclipse 强太多了!
  12. 内置函数(内嵌函数或内联函数)
  13. 【Spark】SparkSQL练习--出租车数据清洗
  14. ENSP教程---OSPF单区域配置实验
  15. mysql卸载安装pxc_PXC安装
  16. 【网络工程】交换机各层作用,100路监控摄像是否_该采用核心交换机吗
  17. 网络领域 ——《Adaptable Switch: A Heterogeneous Switch Architecture for Network-Centric Computing》
  18. android 新浪微博分享链接地址,Android 集成新浪微博分享及授权 (上)
  19. 如何设置快速启动栏 win7 快速启动栏 快速启动栏不见了.
  20. parse_calls大于executions

热门文章

  1. Android 5.1.1 源码目录结构说明
  2. 安卓rom包解包linux,Android rom解包打包工具
  3. 西安交通大学大计基第十四周练习题
  4. 【第22章】网站安全需求分析与安全保护工程 (软考:信息安全工程师)--学习笔记
  5. 浏览器主页被360/2345等劫持
  6. Python操作Excel的Xlwings教程(二)
  7. 【web系列十一】使用django创建数据库表
  8. 单片机百科知识点---杂七杂八系列
  9. python实时显示图片_任何显示来自Cam的实时图像的快速Python GUI
  10. java eventbus 原理_EventBus的简单使用与原理