文章目录

  • 1、课程准备
    • 1.1 FPGA概论
    • 2.2 了解FPGA的开发流程
    • 2.3 下载并安装Quartus/modelsiam和GVIM软件
  • 2.Verilog语言学习
    • 2.1 【第四课】:硬件描述语言Verilog学习(一)
    • 2.2【第五课】:硬件描述语言Verilog学习(二)
    • 2.3【第六课】:硬件描述语言Verilog学习(三)
    • 2.4 【第七课】:硬件描述语言Verilog学习(四)
    • 2.5 【第八课】:硬件描述语言Verilog学习(五)
    • 2.6【第九课】:硬件描述语言Verilog学习(六)
    • 2.7【第十课】:硬件描述语言Verilog学习(七)
  • 3、仿真工具
    • 3.1【第十一课】:FPGA开发平台Quartus学习
    • 3.2 【第十二课】:在线逻辑分析仪Signaltap
    • 3.3【第十三课】:高效编辑器GVIM
    • 3.4【第十四课】:GVIM模板的学习
    • 3.5【第十五课】:实验平台
  • 4、设计思路
    • 4.1【第十六课】:至简设计法规范(一)
    • 4.2【第十七课】:至简设计法规范(二)
  • 5、项目学习
    • 5.1【第十八课】1位闪烁灯设计
    • 5.2【第十九课】:4位闪烁灯设计
    • 5.3【第二十课】:PWM呼吸灯设计
    • 5.4【第二十一课】:串口通信
    • 5.5【第二十二课】:数码管动态扫描
    • 5.6【第二十三课】:秒表功能
    • 5.7【第二十四课】:数字时钟
    • 5.8【第二十五课】:VGA显示颜色
    • 5.9【第二十六课】:VGA显示矩阵
    • 5.10【第二十七课】:VGA显示圆
    • 5.11【第二十八课】:VGA显示图片
    • 5.12【第二十九课】:信号发生器与DA转换
    • 5.13【第三十课】:FIR滤波器设计
    • 5.14【第三十一课】:插值滤波器设计
    • 5.15【第三十二课】:AD采集

1、课程准备

1.1 FPGA概论

1、请看视频教程里01 FPGA概论;
2、看书籍第一篇 FPGA基础知识的第一章FPGA简介

2.2 了解FPGA的开发流程

1、请看视频教程里 02 FPGA开发流程
2、看书籍第一篇 FPGA基础知识的第二章FPGA开发流程
【作业】完成以上任务后,手画FPGA开发流程,要求将FPGA开发流程熟记于心。

2.3 下载并安装Quartus/modelsiam和GVIM软件

1、quartus软件
下载链接:https://pan.baidu.com/s/1v_ke8sK78lWMl-Z1GUk-pA
提取码:k10g
注:安装完即可,后面会有软件使用的课程;

2、Gvim的下载和安装
下载链接:https://pan.baidu.com/s/1OBQSFpFLRdgpG3DrM8lxjw
提取码:kv8r

3、仿真工具modesiam下载和安装,并完成modelsiam的使用教程学习
下载链接:https://pan.baidu.com/s/1DpLvp_fexQQ7RgtWpgPkjw
提取码:75t8
【作业】完成modesiam的安装后,学习modelsiam的使用方法和modelsiam波形观看方法视频的学习,然后完成modelsiam使用训练的5道练习题。

接下来,我们开始学习语法学习阶段啦,硬件描述语言Verilog,这是我们写程序的基础。

2.Verilog语言学习

2.1 【第四课】:硬件描述语言Verilog学习(一)

1、学习视频教程03 Verilog历史、04综合和仿真、05模块结构、06 信号类型;
2、看书籍与视频对应的第三章 第1-4节;

2.2【第五课】:硬件描述语言Verilog学习(二)

1、学习视频教程07 程序语句、08-11数字进制;
2、看书籍与视频对应的第三章 第5节的5.1和5.2;

2.3【第六课】:硬件描述语言Verilog学习(三)

1、学习视频教程12-14 算术运算符;
2、看书籍与视频对应的第三章 第5节的5.3;

2.4 【第七课】:硬件描述语言Verilog学习(四)

1、学习视频教程15-18,完成逻辑运算符、关系运算符和移位运算符的学习;
2、看书籍与视频对应的第三章 第5节的5.4-5.7的内容;

2.5 【第八课】:硬件描述语言Verilog学习(五)

1、学习视频教程19-21,完成条件运算符和拼接运算符的学习;
2、看书籍与视频对应的第三章 第5节的5.8-5.9的内容;

2.6【第九课】:硬件描述语言Verilog学习(六)

1、学习视频教程22-24,完成时序逻辑的学习;
2、看书籍与视频对应的第三章 第6节的6.1-6.3的内容;

2.7【第十课】:硬件描述语言Verilog学习(七)

1、学习视频教程25-26,完成时序逻辑和对应硬件、阻塞和非阻塞赋值的学习;
2、看书籍与视频对应的第三章 第6节的6.4-6.5的内容;

【作业】
1、登录考试酷班级,完成自测练习《Verilog语法》的测试,巩固一下知识点,检验一下学习效果。
2、Verilog代码改错练习
下载链接:https://pan.baidu.com/s/1rOkxySRzUcKdJAuwuWIW7w
提取码:24st
注意:初学者最多范的错误是一行一行的去找错误。这种做法是不对的,万一以后项目越来越大,有几十万行代码的时候呢?所以,在完成以上作业之前,大家有必要先学习掌握定位问题的方法,提高定位到问题的效率。请学习 定位问题能力http://www.fpgabbs.cn/thread-268-1-1.html 。

接下来,我们要开始quartus工具的学习啦!

3、仿真工具

3.1【第十一课】:FPGA开发平台Quartus学习

1、在开始学习之前,请确保您的quartus软件已经成功安装完成;
2、学习视频教程27-31集,quartus软件使用教程;
3、看书籍与视频对应的第四章《》FPGA开发平台介绍》;
【作业】完成《第一个上板例程》的上板,并完成固化;
案例下载链接:https://pan.baidu.com/s/1BYAROUguF9vVRMTQO2cx_A
提取码:qdwk

3.2 【第十二课】:在线逻辑分析仪Signaltap

Signaltap是ALTERA的在线调试工具,其重要性可与仿真工程等同,是学习FPGA必须掌握的工具,而且是必须熟练掌握。

1、学习视频教程32-35集,关于signaltap的内容
2、看书籍与视频对应的第五章 《在线逻辑分析仪signaltap》;

【作业】完成signaltap的6个训练题。
作业下载链接:https://pan.baidu.com/s/1FnmRmqjCndq1uu13zPyFJA
提取码:9mee

3.3【第十三课】:高效编辑器GVIM

1、学习视频教程36-41集,关于高效GVIM编辑器的内容
2、看书籍与视频对应的第二篇的第一章高效GVIM编辑器内容;
3、下载以下文件作速查备用:
vim 快捷命令 建议打印

【作业】
1、熟记以上内容;
2、将GVIM设置成默认编辑器;
方法:
Vivado设置默认编辑器为GVIM的方法 请点这里
Quartus设置默认编辑器为GVIM的方法 请点这里

3.4【第十四课】:GVIM模板的学习

1、学习视频教程第42集,明德扬多用模板专注设计的内容;
2、看书籍与视频对应的第二篇的第二章多用模板的内容;
3、下载以下文件作速查备用:
基于明德扬课程的GVIM教程.pdf (199.32 KB, 下载次数: 26)
【作业】登录考试酷班级,完成自测练习《模板命令及GVIM指令》的测试,巩固知识点,检验学习效果。

3.5【第十五课】:实验平台

1、学习视频教程第43集,实验平台介绍;
2、自愿购买课程配套的学习开发板MP801;购买链接:https://item.taobao.com/item.htm?spm=a1z10.1-c.w4004-22068449643.2.26f039cf3wkUTE&id=600332006337

4、设计思路

4.1【第十六课】:至简设计法规范(一)

1、学习视频教程第44集 波形图规则和第45集 计数器规范;
2、看书籍第四章的第一节和第二节内容;
【作业】下载《高手修炼课》试听资料进行学习,完成9个练习
链接:https://pan.baidu.com/s/1twAe2X7wQQqyE5zfRKh_pQ
提取码:2mwl

3、学习视频教程第46集 状态机规范;
4、看书籍第四章的第三节状态机规范;
【课程推荐】计数器、状态机在FPGA中的重要性无需多讲,基本上所有的逻辑设计,都可以用计数器和状态机来设计。学习逻辑设计高手修炼课用训练的方式,通过64个项目训练设计技巧,详情请点击:https://item.taobao.com/item.htm?spm=a1z10.1-c.w4004-22068459804.2.791839cfA7LGRI&id=607832883713

4.2【第十七课】:至简设计法规范(二)

1、学习视频教程第47集 接口规范和第48集 FIFO规范;
2、看书籍第四章的第四节和第五节内容;

【作业】下载《FIFO课程》资料进行学习,完成此资料的9个练习;
链接:https://pan.baidu.com/s/1nh3aiPl5Z5DCXM9EcX6Y2w
提取码:r0aw

MDY的FIFO课程提供5大阶段,共41个练习项目,更多详情请点击:https://item.taobao.com/item.htm?spm=a1z10.1-c.w4004-22068459804.6.791839cfM5hnQr&id=608007391707

接下来,正式进入项目阶段的学习啦!

5、项目学习

5.1【第十八课】1位闪烁灯设计

1、学习视频教程第49集/第50集 1位闪烁灯设计;
2、看书籍第三篇 第一章 1位闪烁灯设计;

【作业】完成设计后,上板看效果。

5.2【第十九课】:4位闪烁灯设计

1、学习视频教程第51集 4位闪烁灯设计;
2、看书籍第三篇 第二章 4位闪烁灯设计;

【作业】完成设计后,上板看效果。

5.3【第二十课】:PWM呼吸灯设计

1、学习视频教程第52集 PWM呼吸灯设计;
2、看书籍第三篇 第三章 PWM呼吸灯设计;

【作业】完成设计后,上板看效果。

5.4【第二十一课】:串口通信

1、学习视频教程第53集 串口通信;
2、看书籍第三篇 第四章 串口通信;

【作业】完成设计后,上板看效果。

5.5【第二十二课】:数码管动态扫描

1、学习视频教程第54集 数码管动态扫描;
2、看书籍第三篇 第五章 数码管动态扫描;

【作业】完成设计后,上板看效果。

5.6【第二十三课】:秒表功能

1、学习视频教程第55集 秒表功能;
2、看书籍第三篇 第六章 秒表功能;

【作业】完成设计后,上板看效果。

5.7【第二十四课】:数字时钟

1、学习视频教程第56集 数字时钟;
2、看书籍第三篇 第七章 数字时钟;

【作业】完成设计后,上板看效果。

5.8【第二十五课】:VGA显示颜色

1、学习视频教程第57集 VGA显示原理和开发板VGA介绍
2、学习视频教程第58集 VGA显示颜色;
2、看书籍第三篇 第八章 VGA显示颜色

【作业】完成设计后,上板看效果。

5.9【第二十六课】:VGA显示矩阵

待更新

5.10【第二十七课】:VGA显示圆

5.11【第二十八课】:VGA显示图片

5.12【第二十九课】:信号发生器与DA转换

5.13【第三十课】:FIR滤波器设计

5.14【第三十一课】:插值滤波器设计

5.15【第三十二课】:AD采集

芯片设计:FPGA开发学习相关推荐

  1. FPGA开发学习开源网站汇总

    1. OPENCORES.ORG 这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到. 进入后,选择project或者由http//www.opencores.org/browse.c ...

  2. RISC-V开发与应用学习系列——Tang Nano 9K FPGA开发板介绍

    Tang Nano 9K FPGA开发板介绍 简介 开发板资源.IDE及实例演示 简介 Tang Nano 9K 是基于高云半导体 GW1NR-9 FPGA芯片设计的精简型开发板.它搭载的HDMI连接 ...

  3. 学习FPGA之四:FPGA开发方法

    FPGA是一个很特殊的芯片,可能在2个月前,我还对它一无所知.我们熟知的芯片都是CPU,GPU,或者知道ASIC的概念.但实际上,FPGA已经走过了30个年头,它目前已经成为一个包含各种先进电路,逻辑 ...

  4. Xilinx FPGA开发板 Digilent Spartan-3E 学习资料

    一.FPGA相关资料贴 EDK实验 base in spartan-3e 适合mircoblaze初学者  MicroBlaze嵌入式软核是一个被Xilinx公司优化过的可以嵌入在FPGA中的RISC ...

  5. 【SoC FPGA学习】一、AC501-SOC FPGA开发板介绍

       少一些功利主义的追求,多一些不为什么的坚持. [SoC FPGA开发常见问题合集]SoC FPGA开发过程中常见问题   本系列学习笔记基于小梅哥SOC Cyclone V SoC开发板. 一. ...

  6. VHDL编写多功能数字钟,spartan3 FPGA开发板硬件实现-学习笔记

    VHDL编写多功能数字钟,spartan3 FPGA开发板硬件实现-学习笔记 多功能数字钟硬件测试视频: https://www.bilibili.com/video/av62501230 1.数字钟 ...

  7. Xilinx FPGA开发板 Digilent Spartan-3E 学习资料整理

    很多人抱怨Xilinx FPGA的资料很难找,Digilent的板卡资料网上怎么就没有呢!针对这些问题写了如下的BLog,希望对大家有帮助. 最近几日在整理关于Xilinx FPGA和Digilent ...

  8. [FPGA 学习记录] FPGA 开发环境的搭建

    FPGA 开发环境的搭建 文章目录 一.Quartus II 主体软件安装[^1][^2] 1.1 选择软件安装位置 1.2 找到安装包存放位置 1.3 运行安装程序 1.4 开始安装 1.5 安装位 ...

  9. 【正点原子FPGA连载】第一章 ZYNQ简介 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

最新文章

  1. 有道概率题:一个有趣的抛硬币问题
  2. android 获取短信验证码倒计时
  3. 推荐13个CSS3快速开发工具
  4. 置顶 | 2021学习单/读书单(该来的总会来,过好当下,静待花开)
  5. npm安装不上nodemon_node.js - NPM安装在nodemon@1.18.9后安装脚本处失败 - 堆栈内存溢出...
  6. Illegal access:this web application instance has been stopped already
  7. 一个老外如何丑化中国程序员
  8. 如何自定义SAP Spartacus店铺的购物车图表css风格
  9. win10下安装node
  10. linux所有文件打包压缩,Linux基础教程:对文件打包压缩
  11. 7-166 二分法求多项式单根 (20 分)
  12. vs2017 js cordova + dotnet core 开发app
  13. urdf与xacro的使用方法 机械臂模型仿真示例
  14. svm分类代码_数据挖掘入门系列教程(九)之基于sklearn的SVM使用
  15. 利用RFM模型做电商客户价值分析
  16. linux反编译java_linux系统上如何反编译.class文件
  17. 修复PS插件Nik Collection崩溃的解决方法
  18. [山东科技大学OJ]1653 Problem C: 藏头诗
  19. css小点,css3效果:animate实现点点点loading动画效果(二)
  20. 关于TypeError: e[h] is not a function的问题

热门文章

  1. linux 版本号 笔记本_2019 年最佳 Linux 笔记本电脑发行版 top10
  2. 我的世界基岩版红石教程(超简单)2
  3. Python pass 语句
  4. spa项目开发之vue+elementUi实现tab页
  5. 如何自己建网站,免费自助建站系统平台哪个最好?
  6. vscode 用户设置与工作区设置
  7. 年、月、日格式正则表达式
  8. 企业微信公众平台(4)KTV 3G网站建设
  9. 戴尔联想惠普IT服务全方位比拼
  10. 127.0.0.1 zxt.php_shmilyzxt/