1、在有限区间上,凡满足狄利赫里条件的周期函数都可以展开为傅里叶级数。其三角函数形式的频谱为单边谱,复指数函数形式的频谱为双边谱


参考:https://wenku.baidu.com/view/af2ea95b7dd184254b35eefdc8d376eeaeaa17de.html

实数系列的FFT后的X(k)是共轭对称的,即实部偶对称,虚部奇对称,matlab fft()得到的是双边谱,前部分是正频率部分,后部分是负频率部分。

2、看看fft()后的频率

x=[1,1.8,1.8,1];
n=length(x);
fs=8;
dt=1/fs;
ti=0:dt:(n-1)*dt;
nfft=8;
y=fft(x,nfft);
df=fs/nfft;
fi=0:df:(nfft-1)*df;subplot(312)
plot(fi,real(y))
title('real')
xlabel('f(Hz)')
subplot(313)
plot(fi,imag(y))
title('imag')
xlabel('f(Hz)')
x_ifft=ifft(y);
x_ifft_output=(x_ifft(1:n));
subplot(311)
plot(ti,x_ifft_output)
title('x(t)')
xlabel('t(s)')figure
%Determin Nyquist frequency:
Nyq=fs/2;
%Save frequency array:
fi_array=(-Nyq:df:Nyq-df);%fftshift()后的频率 (0点右移Nyq个点!!)
y_shift=fftshift(y);
subplot(312)
plot(fi_array,real(y_shift))
title('real')
xlabel('f(Hz)')
subplot(313)
plot(fi_array,imag(y_shift))
title('imag')
xlabel('f(Hz)')
subplot(311)
plot(ti,x)
title('x(t)')
xlabel('t(s)')


可见,matlab fft()后的频率为:[0:df:Nqy-df,-Nqy:df:-df]是其正负频率。

从欧拉公式看三角波的单边谱与双边谱相关推荐

  1. 从欧拉公式看希尔伯特变换

    从欧拉公式看希尔伯特变换 1.定义 2.欧拉公式 3.希尔伯特变换的意义 4.希尔伯特解耦原理 很好的参考资料: 希尔伯特变换理论及matlab计算 [Hilbert端点效应分析 Gibbs现象](h ...

  2. Matlab求单边谱,关于实信号的双边谱和单边谱

    用MATLAB处理语音信号,做fft后要获得单边谱.本文收集资料进行了推导,对于fft数值后续操作从理论上做了一个理解.并且简洁的解释了fft函数的结果.供大家参考,欢迎批评指正. 理论推导 三角函数 ...

  3. 关于实信号的双边谱和单边谱

    用MATLAB处理语音信号,做fft后要获得单边谱.本文收集资料进行了推导,对于fft数值后续操作从理论上做了一个理解.并且简洁的解释了fft函数的结果.供大家参考,欢迎批评指正. 转载请注明原文地址 ...

  4. 关于BUCK降压的一些学习笔记2-->滞回比较器产生三角波

    初出茅驴,说的有不对的地方,欢迎大家共同探讨交流. 在上一篇文章中我说到了P管的开关控制BUCK电路.P管的前级驱动需要PWM驱动,当然这个PWM的占空比是需要可变化的. PWM是数字量,只有高和低两 ...

  5. PWM呼吸灯之三角波、锯齿波、正弦函数波

    脉冲宽度调制(PWM),是英文"Pulse Width Modulation" 的缩写,简称脉宽调制,是利用 微处理器(MCU)的数字输出来对模拟电路进行控制的一种非常有效的技术. ...

  6. DIY信号发生器:运放实现三角波、方波发生器(详细参数说明)+multisim仿真

    前言 信号发生器是电子工程师最常用的几个仪器之一吧,三角波和方波是最常用的波形,在之前的文章中,我们已经介绍过RC延迟电路,今天我就教大家通过RC延迟和运放来实现三角波和方波. 仿真软件版本 本次介绍 ...

  7. c语言编程三角波,STM32 三角波输出

    原标题:STM32 三角波输出 还可以生成三角波,跟之前的生成正弦波和阶梯波不同,它不需要DMA帮助描点来画出波形,而是自己就可以生成三角波,因为三角波非常简单,只是线性的波形,所以对于DAC来说完全 ...

  8. ​基于Verilog的DDS波形发生器的分析与实现(三角波、正弦波)

    原文作者:FPGA设计论坛 基于Verilog的DDS波形发生器的分析与实现(三角波.正弦波) 最近学习了一下关于DDS的相关知识,本篇概要记录一下自己的理解与实现. DDS信号发生器采用直接数字频率 ...

  9. 分压后方波变成了三角波?

    目录 现象:分压后方波变成了三角波 分析 估算方法1 估算方法2 现象:分压后方波变成了三角波 在项目中需要电阻分压,然后将分压后的信号输出,最简单的做法当然就是电阻分压了,如下图所示: 图1:原电路 ...

最新文章

  1. 【Prometheus】 Prometheus 入门到实战搭建监控系统
  2. 纯css写单选框和复选框的样式和功能
  3. layUI 实现自定义弹窗
  4. boost::hana::ap用法的测试程序
  5. Nginx系列篇二:linux搭建Nginx负载均衡
  6. pywinauto二次封装(pywinnat.py)
  7. 计算机硬盘正在工作应特别注意避免,初级计算机考试题库
  8. qdialog 只有点击才能获得焦点_使用金属激光切割机时该注意什么才能保证产品质量?...
  9. c++检测固定usb端口有无设备接入_电脑USB技术白皮书
  10. jquery中的过滤filter not的用法以及可以添加this
  11. android (三)、Activity工作原理
  12. PHP简约聚合ZB盒子程序源码
  13. 抢注小程序“诱饵”多 轻信者被“套路”
  14. 智协云店通+BitCOO加入4WiN.io全球互贸链 | 翼次元空间+Fund++
  15. 沙特罚金减免期从2022年6月1日到11月30日-跨境知道
  16. 内网漫游(lateral movement)的破解之道
  17. IOS性能检测工具-Instruments
  18. Chrome 超强生产力工具 Omni
  19. 阿里云服务器自动签到,天翼云盘自动签到+抽奖,每天至少获得150MB存储空间
  20. 仿QQ空间的一款APP(一)

热门文章

  1. 【WordPress 建站教程】在 正文顶端或末尾插入固定的内容
  2. 在 Nginx 上支持 HTTP/3
  3. 面试精讲之面试考点及大厂真题 - 分布式专栏 03 阿里华为资深HR面试套路全揭晓
  4. Dubbo面试 - 如何自己设计一个类似 Dubbo 的 RPC 框架?
  5. ElasticSearch入门教程-索引
  6. Linux系统学习 八、SSH服务—SSH远程管理服务
  7. 开发技术--设计模式
  8. 牛逼哄哄的 MQ 到底有啥用?
  9. tensorflow 各个版本的 CUDA 以及 Cudnn 版本对应关系
  10. 【Java】判断某值是否在数组中,使用Arrays类