CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。

CORDIC VHDL语言实现,顶层代码如下:
–the top module
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
ENTITY NCO IS
PORT(
clk: IN STD_LOGIC;
nRST: IN STD_LOGIC;
ena: IN STD_LOGIC;
in_rd: IN STD_LOGIC;
fre_chtr: IN STD_LOGIC_VECTOR(27 downto 0);
pha_chtr: IN STD_LOGIC_VECTOR(27 downto 0);
sin_out: OUT STD_LOGIC_VECTOR(28 downto 0);
cos_out: OUT STD_LOGIC_VECTOR(28 downto 0);
out_rd: OUT STD_LOGIC
);
END NCO;
ARCHITECTURE BV OF NCO IS
signal phase_in : STD_LOGIC_VECTOR(27 downto 0);

– three module
COMPONENT phase_control IS
PORT(
clk: IN STD_LOGIC;
nRST: IN STD_LOGIC;
e

CORDIC算法VHDL设计实现及仿真相关推荐

  1. CORDIC算法详解(五)-统一的 CORDIC 算法形式

    CORDIC算法详解(五)- 统一的 CORDIC 算法形式 文章目录 CORDIC算法详解(五)- 统一的 CORDIC 算法形式 5 统一的 CORDIC 算法形式 相关参考资料及源码   网上有 ...

  2. CORDIC算法详解(一)- CORDIC 算法之圆周系统之旋转模式( Rotation Mode )

    版权声明:本文为博主原创文章,遵循 CC 4.0 by-sa 版权协议,转载请附上原文出处链接和本声明. 本文链接:https://blog.csdn.net/Pieces_thinking/arti ...

  3. 智能驾驶ADAS算法设计及Prescan仿真(1): 前向碰撞预警FCW算法设计与simulink仿真

    前言: 本系列文章将对智能驾驶ADAS算法进行完整的介绍,并在simulink中搭建算法模型,并在Prescan中搭建场景并且与simulink联合仿真对ADAS算法进行验证.智能驾驶ADAS算法详解 ...

  4. 智能驾驶ADAS算法设计及Prescan仿真(3): 自适应巡航ACC跟车目标选择策略设计与simulink仿真

    前言: 本系列文章将对智能驾驶ADAS算法进行完整的介绍,并在simulink中搭建算法模型,并在Prescan中搭建场景并且与simulink联合仿真对ADAS算法进行验证.智能驾驶ADAS算法详解 ...

  5. 【Cordic,NCO】基于Cordic算法的NCO的FPGA设计实现

    1.软件版本 quartusii12.1 2.本算法理论知识 ROM资源,作为产生离散正弦信号的另一种有效途径,CORDIC(坐标旋转数值计算)算法已越来越受到青睐.其基本思想是通过一系列逐次递减的. ...

  6. 【Cordic】基于Cordic算法的NCO的设计实现

    1.软件版本 ISE14.7 2.本算法理论知识 ROM资源,作为产生离散正弦信号的另一种有效途径,CORDIC(坐标旋转数值计算)算法已越来越受到青睐.其基本思想是通过一系列逐次递减的.与运算基数相 ...

  7. matlab三相电源含谐波,谐波电能计量算法的研究及MATLAB仿真-毕业论文(设计).doc...

    毕业设计(论文) 题 目: 谐波电能计量算法的研究及MATLAB 仿真 学 院: 机电工程学院 专 业: 电子信息工程 班 级: 电信 学 号: 姓 名: 指导教师: 教 务 处 制 2011 年 5 ...

  8. 使用帅气的cordic算法进行坐标系互转及log10的求解

    参考博客 https://blog.csdn.net/u010712012/article/details/77755567 https://blog.csdn.net/Reborn_Lee/arti ...

  9. 在fpga中用Cordic算法来产生正弦函数

    在fpga中实现正弦函数可有三种基本方法,Cordic法和查找表法和线性插值法,三种方法各有其优劣性,今天就使用Cordic算法来产生正弦函数 CORDIC ( Coordinate Rotation ...

最新文章

  1. 时序预测竞赛之异常检测算法综述
  2. Understanding The React Source Code
  3. hdu 5325 Crazy Bobo dfs
  4. windows 10 64位机器上 安装部署
  5. 逆向最大匹配分词算法C#
  6. 蓝桥杯 - 翻硬币(贪心)
  7. RUNOOB python练习题13 水仙花数
  8. 二分检索函数lower_bound()和upper_bound()
  9. 年龄到底怎么算才对_如意甘霖vs嘉和保,到底谁才是最佳男性重疾险
  10. 7-188 编程团体赛 (20 分)
  11. Cannot find executable for CFBundle 解决办法
  12. linux下mysql的用户名,linux下设置mysql用户名及密码
  13. nodejs template
  14. drds节点异常处理,docker节点进程一直重启
  15. Android PackageManager 详解
  16. centos journalctl日志查看
  17. 数组和集合有什么区别
  18. 从零到卓越:京东客服即时通讯系统的技术架构演进历程(转)
  19. [转载]MIS专业排名
  20. Python实现爬取下载百度图片

热门文章

  1. hybris backoffice 产品属性和sample data的对应关系
  2. 如何通过Restful API的方式读取SAP Commerce Cloud的Product图片
  3. SAP CRM fiori application delete if only one note header
  4. windows环境里React-Native运行失败,找不到Nullable的原因分析
  5. NO_CHANGE_ALLOWED error code
  6. JMeter: org.apache.http.NoHttpResponseException
  7. SAP UI5页面动画效果的实现,实际借用了jQuery的库文件
  8. SAP产品和3D渲染技术的结合-使用JavaScript的开源3D渲染库实现
  9. 到底哪种类型的错误信息会阻止business transaction的保存
  10. javaweb mysql 连接池 c3p0 配置_JavaWeb基础—数据库连接池DBCP、C3P0