实验一   八位可控加减法器实验

设计要求:

A.实现八位可控的加减法电路

B.Sub=0时实现加法,Sub=1时实现减法

C.引脚配置

D.具备溢出检测功能

实验目的:

A.熟悉Logism的基本操作

B.理解有/无符号数运算

C.训练形成基本电路的设计能力

D.理解基于补码的加减法实现过程

E.学习理解溢出检测的方法

方案设计:

A.宏观设计

a.加减法控制器sub
                        通过异或门给到【操作数y】,为0是加法过程,为1是减法过程
                因为异或门相当于【可控の反相器】,取0时正向,取1反向;以此将y转化为反码
                个位+1可以将y转化为补码形式参与加法

b.各个位置上的运算
                        以【一位全加器】的封装作为基本单元,只是通过sub增加对操作数y的变化
                使其可以为【原码/补码】

c.溢出检测
                        检查【符号位进位】与【最高位进位】,相同就没有溢出,不同就产生溢出
                  同样采用异或门实现

B.实验步骤

a.电路设计

b.图例说明
                        各个位置部署x+y;FA为【一位全加器】
                左侧为【高位进位】,右侧为【低位进位】
                sub=0按照原码加法走,sub=1将y转化为【补码】参与运算

c.故障调试-本地测试

C.成果展示

心得体会:

软件不错,如果当时学数字逻辑的时候能提前接触到那肯定是一大幸运之事,而且可以自己设计黑盒子封装,给我就像编程中设计函数的感觉,可以有小到大搭建一个巨大的工程;自己能把设计图弄出来还是比较高兴的;另外,软件的界面放缩设计是很糟糕的,为什么不能用Ctrl+鼠标滚轮放缩?而且图标很小不好点,应该来说需要根据用户需求进行进一步的软件优化

Logism · 八位可控加减法器 实验相关推荐

  1. 计算机组成原理:运算器组成实验(.八位串行可控加减法器等)

    运算器组成实验 一. 实验目的 熟悉 Logisim软件平台. 掌握运算器基本工作原理 掌握运算溢出检测的原理和实现方法: 理解有符号数和无符号数运算的区别: 理解基于补码的加/减运算实现原理: 熟悉 ...

  2. 计算机组成原理8位串行可控加减法器

    计算机组成原理第二次实验浅浅记录一下[学习笔记] 八位串行可控加减法器 要先理解八位串行可控加减法器,首先要明白八位串行加法器的原理 八位串行加法器 八位串行可控加减法器 可以看出相比于八位串行加法器 ...

  3. 计算机组成原理实验八报告,计算机组成原理实验报告-八位补码加减法器的设计与实现.docx...

    计算机科学与技术学院 计算机组成原理 实验报告书 实?验?名?称 班级 学号 姓名 指?导?教?师 日期 成绩 八位补码加/减法器的设计与实现 实验?1?八位补码加/减法器的设计与实现 一.实验目的 ...

  4. 计算机组成原理八位全加器,计算机组成原理实验报告-八位补码加减法器的设计与实现.docx...

    文档介绍: 计算机科学与技术学院计算机组成原理实验报告书实 验 名 称班 级学 号姓 名指 导 教 师日 期成 绩八位补码加/减法器的设计与实现实验 1 八位补码加/减法器的设计与实现一.实验目的1. ...

  5. 八位可控加法器logisim_八位二进制加法器

    本文使用Logisim软件来进行仿真实验,该软件完全免费 半加器 二进制加法规则很简单,0+0=1,1+0=1,0+1=1,1+1=0,进一位,考虑一位二进制数加法的话,就会有两个输入,两个输出,则真 ...

  6. 8位可控加减法器_自主可控:QTouch在军工道系统上的应用

    自主可控:QTouch在军工道系统上的应用 一.系统介绍 "道系统"操作系统是一款面向各领域的嵌入式实时操作系统,支持单核及多核CPU硬件配置,可替换相关领域的VxWorks 6. ...

  7. Logism · MIPS文件寄存器 实验

    实验五 MIPS文件寄存器 设计要求: 利用 Logisim 平台构建一个简化的 MIPS 寄存器文件,内部包含4个32位寄存器 实验目的: 学生了解 MIPS 寄存器文件基本概念,进一步熟悉多路选择 ...

  8. 8位可控加减法器_行测高分技巧-资料分析之有效数字加减法取舍

    资料分析是我们行测试卷中得分率较高的一个部分,所以对资料分析这一部分的题目我们必须把握.但是做题过程中,考生碰到一些数字较大,列式复杂的题目,就无从下手,不知如何应对,今天陕西京佳教育就和各位考生聊一 ...

  9. logism电路仿真实验(三)——串行加减法器、先行进位加法器、阵列乘除法器、ALU运算器组成实验

    目录 实验说明 1.多位串行加法器和多位可控加减电路的设计 (1)设计完成8位串行加法器 (2)设计完成8位可控加减法器 2. 快速加法器的设计 (1)设计4位先行进位电路 (2)利用设计的4位先行电 ...

  10. 计算机组成原理快速加法器与32位ALU设计实验报告(8位可控加减法电路设计、CLA182四位先行进位电路设计、4位快速加法器设计、16位快速加法器设计、32位快速加法器设计)

    实验二 快速加法器与32位ALU设计实验 本次实验,进行了五个实验,分别是8位可控加减法电路设计.CLA182四位先行进位电路设计.4位快速加法器设计.16位快速加法器设计.32位快速加法器设计.这次 ...

最新文章

  1. bzoj2020[Usaco2010 Jan]Buying Feed, II*
  2. Apache Ignite——集合分布式缓存、计算、存储的分布式框架
  3. 【Kaggle-MNIST之路】CNN+改进过的损失函数(三)
  4. float python_Python中float('INF')的使用
  5. 006 CSS三种引入方式
  6. 20190812_Intel Realsense D435 关于摄像头USB掉线问题问题记录汇总
  7. vue 固定div 滚动_vue.js-div滚动条隐藏但有滚动效果的实现方法
  8. 编译原理—语法分析器(Java)
  9. html标签企业级命名规范
  10. LocalStorage与SessionStorage
  11. 第一模块:开发基础 第1章·Python基础语法
  12. 【CodeVS】p1174 靶形数独
  13. SQLite 如何取出特定部分数据
  14. 让云触手可及——微软云计算解决方案白皮书
  15. 烟花散尽漫说无(参考资料)
  16. 微信分身服务器验证失败咋办,微信好友验证发送失败原因分析及解决方法汇总...
  17. 小黑课堂c语言题库,未来教育和小黑课堂哪个好 试卷题目是一样的吗
  18. jquery仿钉钉流程图制作。
  19. 最长不含重复字符的字符串
  20. 如何看待程序员“面试造火箭、工作拧螺丝”?| 畅言

热门文章

  1. 分享一个更高效的数据清理方法,建议收藏
  2. flink on yarn 103错误浅析
  3. 需求分层-KANO模型解读
  4. 【3D模型分享】柴油机MMZ D-260柴油发动机
  5. 数据分析的常用工具有哪些
  6. java实现一个月的日历打印,Java实现按年月打印日历功能【基于Calendar】,日历calendar...
  7. java初学乍练之用Calendar打印万年历
  8. 3月第2周易盾业务风控关注 | 新版个人信息安全规范正式发布
  9. BAT机器学习面试1000题系列大集合整理(320)
  10. 反激变压器的设计-电子研习社