1.RAM简介

RAM 是随机存取存储器(Random Access Memory)的简称,是一个易失性存储器。RAM 工作时可以随时从任何一个指定的地址写入或读出数据,同时我们还能修改其存储的数据,即写入新的数据,这是 ROM 所并不具备的功能。在 FPGA 中这也是其与 ROM 的最大区别。ROM 是只读存储器,而 RAM 是可写可读存储器.

2.RAM类型

同样分为单端和双端RAM,其中双端又分为普通双端和简单双端
普通单端:读写公用一组地址线,不能同时读写.
简单双端:一个读地址端口,一个写地址端口
真双端口:两个读写端口,都可进行读写

3.IP核参数

3.1 单端口

1.时钟
单时钟:所有信号线公用一个时钟
双时钟:输入和输出分别用各自的时钟
2.寄存器
如果在输出数据端加一个寄存器则会让输出数据慢一拍
3.时钟使能
4.aclr异步复位和rden,和rom一样
5.Read During Write Operation 项配置,是选择某个地址即将被写入数据时读该地址的数据输出类型:有 Don’t Care(不关心)、 New Data(写入的新数据)和Old Data(原有数据)
6.是否有初始化文件,和ROM一样

3.2双端口RAM

简单双端还是真双端是在IP核内部设置的

3.2.1简单双端

1.时钟
单时钟:所有信号线共用一个时钟
读写双时钟:读和写分别用两个不同的时钟
输入输出双时钟:输入和输出分别用两个不同的时钟
3.寄存器
输出位如果加寄存器则会比地址慢一拍
2.使能
读使能、字节使能、时钟使能,读复位

3.2.2真双端

参考资料:征途Pro《FPGA Verilog开发实战指南——基于Altera EP4CE10》(上)

FPGA IP核之RAM相关推荐

  1. 【正点原子FPGA连载】第十四章 IP核之RAM实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  2. 【正点原子MP157连载】 第十四章 IP核之RAM实验-摘自【正点原子】STM32MP1嵌入式Linux驱动开发指南V1.7

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频 ...

  3. 如何测试IP核(RAM为例)

    如何测试IP核(RAM为例) (读出数据有两个时钟的延时) 了解RAM IP 核的几种工作方式. Simple Dual port RAM (简化双口RAM):A端只能写入,B端只能读出. Singl ...

  4. Altera FPGA 储存单元IP核之RAM、FIFO

    一.储存单元简介 1.ROM 只读存储器,系统上电后数据就被写入ROM,运行过程中只能从ROM中读取数据,而不能改变ROM中的数值. 2.RAM 随机存取储存器,可以随时把数据写入任一指定地址的储存单 ...

  5. FPGA IP核之ROM

    1.ROM介绍 ROM 是只读存储器(Read-Only Memory)的简称,是一种只能读出事先所存数据的固态半导体存储器.FPGA中通过IP核生成的ROM或RAM都是调用FPGA中的RAM生成的, ...

  6. FPGA IP核 串口实验 signaltap

    文章目录 前言 一.IP核 1. 新建工程 2. IP核建立和调用 3. 工程文件的配置 二.串口IP核 1 2. 总结 前言 之前有写过verilog对FPGA串口和sdram的操作,但是我整合代码 ...

  7. IP 核之RAM实验

    目录: 1.IP核 RAM简介 2.实验1: 配置单端口 RAM 1)实验任务 2)创建工程并添加ram ip 3)编写ram_rw.v 4)编写顶层文件 5)编写激励文件 6)仿真测试 7)ILA测 ...

  8. 基于 NVMe 的 exFAT 文件系统 FPGA IP 核简介

    应用场景 用于需要对大数据量进行实时高速存储且需要文件管理的场景,如: ·超高速高清相机数据记录 ·航空成像与测量数据记录 ·雷达数据模拟与仿真 ·宽带卫星中频记录系统 ·汽车安全碰撞测试 ·高速AD ...

  9. xilinx 相关IP核 rom ram

    ROM 在matlab中生成如下txt文件 1,2,4,5,3  也就是两个数字之间带逗号的数据  语句:dlmwrite('b.txt',a);%dlmwrite函数是使得产生的数据之间是用逗号隔开 ...

最新文章

  1. Chapter 0: 引论
  2. Visual Studio 20年
  3. 【MFC】带下拉菜单的工具栏
  4. Java多线程之多线程工程代码编写思维方式
  5. 【python】随机采样的两种方法
  6. linux虚拟文件系统(五)-文件打开操作分析
  7. CF1228C. Primes and Multiplication(数学)
  8. Xfce 4.4 beta2
  9. 创建visual basic2005 数据库
  10. 分子模拟软件amber_薛定谔 autodock 分子动力学模拟GROMACS软件
  11. 微信公众号推送封面尺寸
  12. 搭建网站显示成功后却打不开主页原因
  13. V4L2驱动详解 API翻译
  14. murmur3哈希算法 1
  15. 数据结构期末考试——判断题
  16. 遇到问题: microsoft word 想要使用您存储在钥匙串的microsoft office credentials中的机密信息(已解决)
  17. 中谷项目(九)—如何将图片存入数据库并从中读取
  18. 计算机类考英语教师资格证,我是安师大11年毕业的计算机师范毕业生,有计算机教师资格证,现在想考英语的,还要考教育学和心理学吗...
  19. 第12周项目2:太乐了(先听故事,再编程序)
  20. 2023年最新国产芯片生态图谱

热门文章

  1. 互联网产品经验小分享——关于“虚荣指标”的思考(2020.8.3)
  2. 微型计算机标致寄存器实验报告,xin微机原理与汇编语言程序设计
  3. 8月图书畅销榜:经典书霸屏,AI持续火爆《深度学习》拔头筹
  4. MarkdownPad 2 Pro 注册码
  5. Android实战简易教程-第五十枪(工具类的测试)
  6. Backdoor.Win32.Rbot病毒防治
  7. JVM基本概念,Java编译方式,Javac编译的过程
  8. java线程不sleep_在Java多线程中sleep()和wait()方法,下列说法不正确的是()
  9. MSI BIOS更新迷惑行为
  10. Win7系统只要一打开浏览器就死机 win7打开网页浏览器卡死怎么办