正在学习arm过程中,因为以前没有接触过硬件,所以现在仍然是硬着头皮在学习的感觉,但我相信自己能够坚持下去。不知道什么是ADC,从网上摘录并记在此处。

模数转换器即A/D转换器,或简称ADC,通常是指一个将模拟信号 转变为数字信号 的电子 元件。

通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小。故任何一个模数转换器都需 要一个参考模拟量作为转换的标准,比较常见的参考标准为最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小。

   模数转换器最重要的参数是转换的精度,通常用输出的数字信号的位数的多少表示。转换器能够准确输出的数字信号的位数越多,表示转换器能够分辨输入信号 的能力越强,转换器的性能也就越好。

   A/D转换一般要经过采样、保持、量化及编码4个过程。在实际电路中,有些过程是合并进行的,如采样和保持,量化和编码在转换过程中是同时实现的。
   一般来说,AD比DA贵,尤其是高速的AD,因为在某些特殊场合,如导弹的摄像头部分要求有高速的转换能力。一般那样AD要上千美元。还有通过AD的 并联可以提高AD的转换效率,多个AD同时处理数据,能满足处理器的数字信号需求了。

   模数转换过程包括量化和编码。 量化是将模拟信号量程分成许多离散量级,并确定输入信号所属的量级。编码是对每一量级分配唯一的数字码,并确定与输入信号相对应的代码。最普通的码制是二 进制,它有2n个量级(n为位数),可依次逐个编号。模数转换的方法很多,从转换原理来分可分为直接法和间接法两大类。 直接法是直接将电压转换成数字量。它用数模网络输出的一套基准电压,从高位起逐位与被测电压反复比较,直到二者达到或接近平衡(见图)。控制逻辑能实现对 分搜索的控制,其比较方法如同天平称重。先使二进位制数的最高位Dn-1 =1,经数模转换后得到一个整个量程一半的模拟电压VS , 与输入电压Vin相比较,若V in>VS ,则保留这一位;若V in<V in,则Dn-1 =0。 然后使下一位Dn -2=1,与上一次的结果一起经数模转换后与V in相比较,重复这一过程,直到使D 0=1, 再与V in相比较,由V in>VS 还是V in<V 来决定是否保留这一位。经过n 次比较后,n 位寄存器的状态即为转换后的数据。这种直接逐位比较型(又称反馈比较型)转换器是 一种高速的数模转换电路,转换精度很高,但对干扰的抑制能力较差,常用提高数据放大器性能的方法来弥补。它在计算机接口电路中用得最普遍。

间接法不将电压直接转换成数字,而是首先转换成某一中间量,再由中间量转换成数字。常用的有电压-时间间隔(V/T)型和电压-频率(V/F)型两种,其 中电压-时间间隔型中的双斜率法(又称双积分法)用得较为普遍。

    模数转换器的选用具体取决于输入电平、输出形式、控制性质以及需要的速度、分辨率和精度。   用半导体分立元件制成的模数转换器常常采用单元结构,随着大规模集成电路技术的发展,模数转换 器体积逐渐缩小为一块模板、一块集成电路。

ADC( 数模转换器 )相关推荐

  1. 嵌入式-STM32-数模转换器(ADC)

    ADC数模转换器的工作原理: 将时间和幅值连续的模拟量转化为时间和幅值离散的数字量. 采样–>保持–>量化–>编码 常用ADC类型: 逐次逼近型.双积分型.代尔塔型 AD转换器的技术 ...

  2. Python实现旋转按钮控制小风扇

    Python实现对小风扇的控制 1.本次小实验总共需要准备的物品包括:Pico开发板.扩展板.电脑.USB数据线.电位器模块.电机驱动模块.电机小风扇.6根母对母杜邦线. (1).电位器模块:电位器是 ...

  3. 单片机I/O口的结构的详解

    1.什么是源型 漏型?什么是上拉电阻?下拉电阻?什么是 线驱动输出 集电极开路输出,推挽式输出? 我们先来说说集电极开路输出的结构.集电极开路输出的结构如图1所示,右边的那个三极管集电极什么都不接,所 ...

  4. [DSP 日常记录] #2 TI DSP 的简单介绍与 DSP 的一些名词解释

    将原先自己别处写的两篇博文汇总在这里 [内容仅针对 TI 公司生产的 DSP] TI公司的主要处理器产品列表 参考:TI.WIKI 一.MCUs 微处理器 16-bit 超低功耗处理器 -- MSP4 ...

  5. linux驱动篇-touchscreen-完整版

    Touchscreen 本篇文章为触摸屏驱动完整版本,为的是给时间充裕的同学详细讲解.如要时间有限可以看精简版,传送门在下面. https://blog.csdn.net/chichi123137/a ...

  6. 逻辑信号检测仪的仿真与实物制作

    目录 1.课题背景 2.方案选择 3.系统设计 4.原理详解 5.器件选型 6.系统测试 本次使用运算放大器和一些外围的电阻等器件,实现了一个逻辑信号检测仪.主要功能是:  设计要求:(禁止使用集成模 ...

  7. 1) DAC 数模转换器 2) TIA 跨阻放大器 3) ADC 模数转换器

    1,光学处理器中的模拟器件 https://cloud.tencent.com/developer/article/1678554 其中vector DAC将数字信号转变为输入矢量,optical c ...

  8. 基于FPGA的模数转换器(ADC)或数模转换器

    选择时首先要确定转换信号所需的采样频率.这个参数不仅将影响转换器的选择,同时也会影响对FPGA的选择,这样才能确保器件能够满足所需的处理速度及逻辑封装要求.转换器的采样频率至少为信号采样频率的2倍.因 ...

  9. adc采样的值跳动_嵌入式er必知:模数采样知多少(最全总结)

    [导读]  生活环境周围信号万万千,对于一个嵌入式er.我们利用技术去了解世界.改变世界.而一个产品要与外界物理环境打交道,一个至关重要的触角就是采样真实模拟世界的信号,翻译成芯片可理解的数字信号,进 ...

最新文章

  1. Java 中日期的几种常见操作 —— 取值、转换、加减、比较
  2. mysql基础(二)—— 简单sql
  3. Spring Tools 4 for Visual Studio Code
  4. IOS和Android音频开发总结
  5. 埃斯顿驱动器参数设置_驱动器参数设置讲解(伺服步进)
  6. debian8.8安装谷歌浏览器
  7. 【C语言开源项目】盘点 GitHub 上不错的 4 个C语言项目
  8. 如何去除 WinRAR 的弹窗广告
  9. [Matlab]维纳滤波器设计
  10. 【PPT模板】甄选100套时间轴精品
  11. 目前服务器cpu主流参数,服务器CPU天梯图-包含所有主流设备及服务器处理器
  12. 从 0 开始学支付系统搭建——解析「核算对账核心」
  13. 关闭Win10自动更新
  14. 【noiOJ】p7939
  15. 一天一图学Python可视化(1):线性回归图
  16. 3.java 模拟保皇游戏开始的发牌过程
  17. 软件测试常规测试方法有哪些?
  18. 升华思想境界,走出博士的专家路线 --转载
  19. C++/C 文件二进制读写
  20. webpack 的 sourse-map 中 eval、cheap、inline 和 module 各是什么意思呢?

热门文章

  1. Java Eclipse JRE 1.8.0_25下载
  2. 视觉SLAM⑨后端Ⅰ(KF、EKF、非线性优化)
  3. JavaScript中函数当作参数传递或当作返回值
  4. 新一代嵌入式UI框架 HaaS UI 使用JS在嵌入式开发炫酷前端
  5. SQL同步主子表数据
  6. java mybtis关联查询,iBatis/MyBatis 主子表关联查询
  7. oracle 中的rollback,oracle中rollback的使用
  8. 计网——14数字传输技术
  9. SLAP(Speaker-Listener Label Propagation Algorithm)社区发现算法
  10. P4117 [Ynoi2018] 五彩斑斓的世界