今天我们来聊聊合并报表执行过程中最重要、最经典的函数:Con。此函数主要是用来做抵消,比如公司间往来,交易等的在合并层面的抵消。

1、Hs.Con函数介绍

将数据置于Value维度: [Proportion] 和 [Elimination]。您可以在合并规则中使用此函数。

语法


HS.Con ("


DestPOV


",


Factor


, "


Nature


")

Con 函数的语法

参数

说明

DestPOV

以下维的组合:

·Account

·Custom1、Custom2、Custom3、Custom4

·ICP

·Entity

·Value

Factor

数字或者使用数学运算符 (+ - * /) 或函数(如 HS.GetCell)的表达式。这是抵消系数,一般是1或者-1,计算少数股东时可以为百分比

Nature

这个是文字描述,用于对每个抵消模型的描述,在追溯抵消数据时很有用。用于审核的字符串。此字符串将被存储在数据库中,并提供关于事务会计用途的信息。要使用户在运行合并后能够查看合并源和目标事务,必须在此参数中包含文本。如果不包含文本,则不会存储事务信息。可以通过数据网格的“源事务”或“目标事务”选项,或者通过“实体详细信息”报表,查看事务信息。还可以使用 Nature 字符串信息为合并和抵销分录生成日记帐报表。如果要在日记帐报表中查看此数据,则必须要有此参数。分摊和抵销分录的日记帐是通过 RTS/RTD 表报告的。根据您的要求,可能适合为 [Elimination] HS.Con 分录而不是为 [Proportion] HS.Con 分录创建日记帐数据,以减少 RTS/RTD 表分录数量。

注:

使用可生成 RTS/RTD 表分录的 Nature 参数会增加数据库大小,并且可能会影响合并性能。


2、Hs.Con使用前提

要想使用Hs.Con函数进行抵消。必须要进行如下设置:

2.1 打开运行Consolidate()例程的开关,即ConsolidationRules=Y

2.2 在规则中编写合并例程

比如:

3、Hs.Con常见的用法

常见的用法:

Call HS.Con ("V#[Elimination]",-1*dPCon,"")

Call HS.Con ("V#[Elimination]",-1*dPCon,"Elimination")

Call HS.Con ("V#[Elimination]",PCON,"DefaultConsol")

HS.CON只能在HFM RULES中的Consolidate()例程中使用,在其他规则中使用会报错。

3.1 可以将任意来源的科目抵消到可以指定自定义维度以及需要抵消的目标科目,如:

3.2 Hs.Con函数设计的非常的巧妙,它不仅仅是将源上的科目乘以-1或者一个系数写到Elimination上,而是在运行时会判断源科目和目标科目的属性(如资产、负债或者损益)来自动变号,比如用资产的科目去抵消负债的科目,那么系数就是1,所以抵消的时候,系数并非都是-1,而是根据实际情况灵活运用。

3.3 科目类型行为可参考:

如果上面两个图还不好理解,举个例子:

此示例中,Total Assets(总资产,一个 ASSET 帐户)是 Fixed Assets(固定资产,一个 ASSET 帐户)和 Amortization(摊销资产,一个 LIABILITY 帐户)的父代。这两个帐户聚合到父帐户后,将加上 Fixed Assets 值 100,减去 Amortization 值 20,得到 Total Assets 值 80。

3.4 这里的科目属性和会计核算上是一致的,比如资产类科目,借方表示增加,贷方表示减少,负债类科目,借方表示减少,贷方表示增加等。

4、重要说明

4.1 有关Hs.Con在实际使用中,情况会复杂的多,读者可以尝试输出各种场景。

4.2 介绍一个快速入门HFM的参考例子,产品安装配置时,在安装目录上会自带HFM Demo的压缩包,其中有6、7个很好的demo,应该是覆盖了好几种场景的应用搭建,是初学者入门的不二之选,从中可以了解构建规则、成员列表、维度、表单等用法,项目上足以应付。

4.3 下篇我们将介绍HFM非常优秀的抵消设计:PLUGACCOUNT自动抵消的原理(无需写任何代码即可实现往来交易等逻辑的自动抵消)

第十二篇.最重要的合并函数Con介绍相关推荐

  1. matlab最优控制实验报告_第十二篇 章 用MATLAB解最优控制问题及应用实例 最优控制课件.ppt...

    第十二篇 章 用MATLAB解最优控制问题及应用实例 最优控制课件.ppt 综上所述可得结论:Q=diag(1,0,0),R=2时,系统各方面响应较好. 矩阵Q变大时,反馈矩阵变大: 当Q的对角线上第 ...

  2. CCIE-LAB-第十二篇-EIGRP+EIGRP末节区域+leak map+分发列表

    CCIE-LAB-第十二篇-EIGRP+EIGRP末节区域+leak map+分发列表 实际中,思科只会给你5个小时去做下面的全部配置 这个是CCIE-LAB的拓扑图 问题 翻译:1.确保分支3分支4 ...

  3. CCNA-第十二篇-STP+ACL(下)

    CCNA-第十二篇-STP+ACL(下) 首先说说要跳跳了 立个小FLAG, 两个月内急速完成CCIE理论+LAB实操 因为接了个工作,主要我能做到就能做这份工作. 其实NP中间的点很多都会,只是因为 ...

  4. CCIE理论-第十二篇-IPV6-NDP协议

    CCIE理论-第十二篇-IPV6-NDP协议 首先我们知道 在IPV4中 A:0.0.0.1-126.255.255.255 B:128.0.0.1-191.255.255.255 C:192.0.0 ...

  5. 国外交友网站开发源码 第十二篇

    最近由于工作生活的事情比较多,所以就没有更新,这篇是国外交友网站开发源码 第十二篇 希望大家能够喜欢. 私信列表 class WechatController extends SiteControll ...

  6. 「第十二篇」漏洞扫描

    批注[--] 表示他人.自己.网络批注参考资料来源于* 书中批注* CSDN* GitHub* Google* 维基百科* YouTube* MDN Web Docs由于编写过程中无法记录所有的URL ...

  7. MySQL数据库,从入门到精通:第十二篇——MySQL数据类型详解

    MySQL数据库,从入门到精通:第十二篇--MySQL数据类型详解 第 12 章_MySQL数据类型精讲 1. MySQL中的数据类型 2. 整数类型 2. 1 类型介绍 2. 2 可选属性 2. 2 ...

  8. 跟我学SpringCloud | 第十二篇:Spring Cloud Gateway初探

    SpringCloud系列教程 | 第十二篇:Spring Cloud Gateway初探 Springboot: 2.1.6.RELEASE SpringCloud: Greenwich.SR1 如 ...

  9. 自然语言处理系列二十二》词性标注》词性标注原理》词性介绍

    注:此文章内容均节选自充电了么创始人,CEO兼CTO陈敬雷老师的新书<分布式机器学习实战>(人工智能科学与技术丛书)[陈敬雷编著][清华大学出版社] 文章目录 自然语言处理系列二十二 词性 ...

最新文章

  1. C++中前置声明介绍
  2. 机器学习、数据科学、人工智能、深度学习和统计学之间的区别!
  3. linux 误删除mysql表能恢复吗_Linux下Oracle误删除数据文件恢复操作
  4. python之常见问题集锦
  5. 高等数学:第十二章 微分方程(1)微分方程的概念,可分离变量的微分方程,齐次方程
  6. oracle定义转储目录,Oracle 12.1新特性:在线rename或relocate数据文件
  7. gateway网关_使用Sentinel实现gateway网关及服务接口限流
  8. apache中文翻译
  9. java考试题精选30道(附答案)
  10. 读计算机基础知识心得体会1000字,计算机学习个人心得体会1000字.doc
  11. 8分钟教你学会局域网邮箱服务器搭建
  12. 逻辑运算符在c语言里的作用,C语言逻辑运算符有哪些
  13. 外贸企业域名邮箱怎么申请?
  14. [牛客网中级项目]第四章用户注册登陆管理
  15. H.265屏幕编码技术
  16. 骑马与砍杀服务器连接中断,骑马与砍杀为什么显示无法连接服务器 | 手游网游页游攻略大全...
  17. 零知识证明的硬件加速
  18. 电梯程序c语言51单片机,基于51单片机的六层电梯控制系统c语言程序.pdf
  19. s5pv210 nandflash学习(一)
  20. 超酷的计步器APP(一)——炫酷功能实现,自定义水波纹特效、自定义炫酷开始按钮、属性动画的综合体验

热门文章

  1. 从《货殖列传》中学习炒股
  2. Unity3D: 做自己的卡牌游戏 (一)
  3. 江西大数据平台上线带动赣鄱阳物联网板块-2017年9月江西IDC排行榜与发展报告
  4. 音乐精灵 - Bandari
  5. java replica set_K8s 的ReplicaController ReplicaSet DaemonSet和Job
  6. 中国微型无刷直流电动机市场趋势报告、技术动态创新及市场预测
  7. VHDL设计一个同步清零的JK触发器
  8. SD客户信用值(信贷限额、应收款 预收账款、销售值、信贷风险总额、可用余额)
  9. 使用飞信VMDotNet使C#程序脱离.NET FRAMEWORK也能运行的一些心得 ------(我复制别个的)
  10. TensorFlow学习——入门篇