注: 本文章最初是基于Vivado 2017.4 版写的, 当时写的有些粗糙, 现在重新更新完善一下. Vivado版本更换为2020.2. 文章部分内容主要参考自Xilinx 官网提供的用户向导手册.

参考:

  • Vivado Design Suite User Guide: Getting Started
  • Vivado Design Suite User Guide: Design Flows Overview

Vivado 设计套件概述

Vivado 设计套件是什么?

Vivado 设计套件用于进行 7 系列, UltraScale 系列, Versal 系列器件, Zynq-7000 SoC, Zynq UltraScale+ MPSoC 器件的设计开发. 这些器件与原有的FPGA芯片相比, 硬件资源更丰富, 并添加了许多新技术, 如: 堆叠硅互连(SSI)技术,高达28G字节的高速I/O接口, 微处理器和外设硬核, 模拟混合信号等等. Vivado 设计套件涉及了对这些器件设计, 仿真, 综合, 实现到下载, 调试各个环节, 并在各个环节提供了各类优化工具, 用来对器件的时序, 使用率, 功耗等进行快速优化.

Vivado 设计套件用来取代 ISE设计套件. 与ISE设计套件由一系列单一的工具(如: Project Navigator, Xilinx Synthesis Technology (XST),Timing Constraints Editor, ISE Simulator (ISim), ChipScope Analyzer, XilinxPower Analyzer, PlanAhead design tool等)组成不同, Vivado设计套件将这些功能都集成在了一起, 并采用共享内存的方式, 实现整个设计过程的数据模型共享, 而不需要刻意地读写和转换各种中间文件.

Vivado 设计套件中的所有工具都采用工具命令语言(Tcl)接口. 并且Vivado 集成开发环境(IDE)中涉及的所有命令和选项都可以通过Tcl命令获取和执行,这是Vivado设计套件的图形用户界面(GUI),可以通过Tcl访问.

通过以下方式, 可以与Vivado 设计套件进行交互:

  • Vivado IDE中的图形化操作
  • 在Vivado IDE中的Tcl Console 窗口或Vivado Design Suite Tcl Shell中运行Tcl命令或脚本
  • 图形化操作和Tcl命令混合

Vivado 系统级设计流程

本教程包含的内容如下:

  • vivado 开发教程(一) 创建新硬件工程
  • vivado 开发教程(二) 使用IP集成器
  • vivado 开发教程(三) 在SDK中创建应用工程
  • vivado 开发教程(四) 行为仿真

其他内容会陆续添加,敬请期待. 谢谢支持(*^▽^*)

Vivado 开发教程 汇总相关推荐

  1. Android游戏开发教程汇总

    Android游戏开发教程汇总 把最近搜集到的Android游戏开发教程列出来,希望对大家有用. 1.Android2.0游戏开发实战宝典源代码,当然可能大家已经把书也买回家啦. 2.Pro.Andr ...

  2. 全网最全-QCC51xx-QCC30xx(TWS)系列从入门到精通开发教程汇总(持续更新中)

    详细记录分析QCC51XX和QCC30XX系列,sdk解析,帮助你快速部署开发项目.教程没完结前,不定时持续更新,包括新发布文章和对已发布的补充更新. 本系列以3040为例,其他QCC5181,QCC ...

  3. Arduino开发教程汇总

    使用VS code搭建Arduino IDE环境 esp8266开发入门教程(基于Arduino)--环境安装 esp8266开发入门教程(基于Arduino)--编程基础介绍 esp8266开发入门 ...

  4. QCC305X-QCC304x系列开发教程(系统篇)之3.4-Mirroring详解

    订阅QCC514X-QCC304X的专栏查看全部教程开发请点击:高通蓝牙耳机QCC304x开发详解汇总(持续更新中) 订阅QCC515X-QCC305X的专栏查看全部教程开发请点击: 全网最全!QCC ...

  5. QCC51XX-QCC30XX系列开发教程(实战篇) 之 9.14-DTS详解

    查看全部教程开发请点击:全网最全-QCC51xx-QCC30xx(TWS)系列从入门到精通开发教程汇总(持续更新中) ========================================= ...

  6. QCC51XX-QCC30XX系列开发教程(实战篇) 之 10.4-结合协议代码详细讲解方案B通信

    查看全部教程开发请点击:全网最全-QCC51xx-QCC30xx(TWS)系列从入门到精通开发教程汇总(持续更新中) ========================================= ...

  7. Java 比特币开发系列教程汇总

    用Java创建一个机器人 机器人接受比特币并立即退还用户 用Java创建比特币钱包 用Java实现市场价闪兑比特币 用Java在去中心化交易所限价买卖比特币 用Java在去中心化交易所自由挂单买卖任意 ...

  8. Android开发教程JAVA基础(汇总)

    Android游戏开发视频教程(汇总) Android开发教程JAVA基础之Java 概述 Android开发教程JAVA基础之标示符.关键字1 Android开发教程JAVA基础之标示符.关键字2 ...

  9. 【教程汇总+持续更新】Unity游戏开发从入门到入坟

    新的一年,本该在年前整理的年终总结被拖到了年后开工.去年大量时间投入在Catlike教程的翻译上,截止目前位置,教程的进度已经完全追平原作者. 去年还有一部分是断断续续的更新SLG实战教程,但遗憾的是 ...

  10. 简书python自动化运维_简明Python开发教程(4):网络自动化运维的曙光

    写在前面 本打算自动登陆一台路由器,执行查询配置指令,然后用正则表达式分析,获取该路由器的接口连接关系. 现在由于网络问题,导致无法直接telnet路由器,只能通过其他方式获取配置文件,如读取本地文件 ...

最新文章

  1. /etc/syslog.conf文件作用
  2. 静态变量与实例变量的区别
  3. python网课什么平台好-python网课什么平台好
  4. 《剑指offer》-- 和为S的连续整数序列、和为S的两个数字、左旋转字符串、翻转单词顺序列
  5. Character中的奇葩
  6. 《动手学深度学习》第一天 (2.1+2.2)
  7. MongoDB 之 $关键字 及 $修改器 $set $inc $push $pull $pop MongoDB - 4
  8. 云计算的应用解决方案
  9. SQL——正则表达式
  10. 窃隐私泄露、放高利贷,输入法的暗箱操作
  11. AE/PR插件AI智能背景抠像颜色键控GoodbyeGreenscreenzxb V1.6.0官方版
  12. 壁纸最佳分辨率尺寸表
  13. Oracle 11G 11.2.0.4 RAC部署参考指南
  14. nextcloud19.0.1部署
  15. 什么是堆,什么是栈,堆栈的区别
  16. IP Camera采集方案
  17. Windows 8.1新型启动方式“WIMBoot”基础简介以及初步探索
  18. unity如何支持stl文件的导入
  19. ARTS Week 33
  20. 达内重磅推出校园版“非你莫属”《名企有约》

热门文章

  1. Windows 版Oracle 19c 下载安装步骤及细节(Oracle数据库下载)
  2. Android Mobile Web 集成 Webtrends
  3. docker容器安装oracle10g
  4. Excel任务该如何在FineReader 12中设置
  5. 新旧_飘云羽逸_新浪博客
  6. Android小白从零开始学Android开发的要点总结(内含福利)
  7. WordPress SEO插件,免费WordPress插件大全
  8. 【渝粤题库】陕西师范大学200061语言学概论作业(高起本、专升本)
  9. BXP无盘介绍(转)
  10. virtualbox增强功能-VBoxGuestAdditions安装