实验一 验证74LS181运算和逻辑功能

 

实验名称:

 

   验证74LS181运算和逻辑功能

实验目的:

 

(1).掌握算术逻辑单元(ALU)的工作原理;

(2).熟悉简单运算器的数据传送通路;

(3).画出逻辑电路图及布出美观整齐的接线图;

(4).验证4位运算功能发生器(74LS181)组合功能。

实验设备:

74LS181,4段LED,开关若干

实验原理:

 

   ALU能进行多种算术运算和逻辑运算。4位ALU-74LS181能进行16种算术运算和逻辑运算。功能表如下:

方式

M=1逻辑运算

M=0算术运算

S3 S2 S1 S0

逻辑运算

CN=1(无进位)

CN=0(有进位)

0  0  0  0

F=/A

F=A

F=A加1

0  0  0  1

F=/(A+B)

F=(A+B)

F=(A+B)加1

0  0  1  0

F=(/A)B

F=A+/B

F=(A+/B)加1

0  0  1  1

F=0

F=负1(补码形式)

F=0

0  1  0  0

F=/(AB)

F=A加A(/B)

F=A加A/B加1

0  1  0  1

F=/B

F=(A+B)加A/B

F=(A+B)加A/B加1

0  1  1  0

F=A⊕B

F=A减B减1

F=A减B

0  1  1  1

F=A/B

F=A(/B)减1

F=A(/B)

1  0  0  0

F=/A+B

F=A加AB

F=A加AB加1

1  0  0  1

F=/( A⊕B)

F=A加B

F=A加B加1

1  0  1  0

F=B

F=(A+/B)加AB

F=(A+/B)加AB加1

1  0  1  1

F=AB

F=AB减1

F=AB

1  1  0  0

F=1

F=A加A

F=A加A加1

1  1  0  1

F=A+/B

F=(A+B)加A

F=(A+B)加A加1

1  1  1  0

F=A+B

F=(A+/B)加A

F=(A+/B)加A加1

1  1  1  1

F=A

F=A减1

F=A

引脚

说明

M状态控制端

M=1逻辑运算;M=0算术运算。

S3 S2 S1 S0运算选择控制

S3 S2 S1 S0决定电路执行哪一种算术

A3 A2 A1 A0

运算数1,引脚3为最高位

B3 B2 B1 B0

运算数2,引脚3为最高位

Cn 最低位进位输入

Cn=0有进位,Cn=1无进位;

Cn+4本片产生的进位信号

Cn+4=0有进位,Cn+4=1无进位;

F3 F2 F1 F0

F3 F2 F1 F0运算结果,F3为最高位

(上表中的“/”表示求反)

ALU-74LS181引脚说明:M=1逻辑运算,M=0算术运算。


实验内容:

 

电路如下所示:

验证结果如下:

S0 S1 S2 S3

数据1

数据2

算术运算(M=0)

逻辑运算

(M=1)

CN=1(无进位)

CN=0(有进位)

0  0  0  0

AH

5H

F=A

F=B

F=5

0  0  0  1

AH

5H

F=F

F=0

F=0

0  0  1  0

AH

5H

F=A

F=B

F=5

0  0  1  1

AH

5H

F=F

F=0

F=0

0  1  0  0

FH

1H

F=D

F=E

F=E

0  1  0  1

FH

1H

F=D

F=E

F=E

0  1  1  0

FH

1H

F=D

F=E

F=E

0  1  1  1

FH

1H

F=D

F=E

F=E

1  0  0  0

FH

FH

F=E

F=F

F=F

1  0  0  1

FH

FH

F=E

F=F

F=F

1  0  1  0

FH

FH

F=E

F=F

F=F

1  0  1  1

FH

FH

F=E

F=F

F=F

1  1  0  0

5H

5H

F=A

F=B

F=F

1  1  0  1

5H

5H

F=A

F=B

F=F

1  1  1  0

5H

5H

F=4

F=5

F=5

1  1  1  1

5H

5H

F=4

F=5

F=5

总结以及心得体会:

通过这次实验,我熟悉了multisim这个软件的使用,原来这个软件居然是如此的神奇,更加重要的是,原本在书本上的元件,实际使用之后愈加加深了我对计算机计算方式以及硬件组成的理解,计算机不再是书本上老学究们所讲的怎样怎样,我自己有了深刻的体会!

这个实验难度并不大,关键在于加深对计算机的理解!

实验二  运算器

实验名称:

运算器

 

实验目的:

(1)      进一步熟练掌握算术逻辑单元(ALU)的应用方法;

(2)      进一步熟悉简单运算器的数据传送原理;

(3)      画出逻辑电路图及布出美观整齐的接线图;

(4)      熟练掌握有关数字元件的功能和使用方法;

(5)      熟练掌握有关子电路的创建及使用。

实验原理:

本实验仿真单总线结构的运算器,原理如下图图2-2所示。相应的电路如图2-3所示。

电路图中,最右边的像5线谱的器件模拟8位数据总线;与74LS244连接的8个开关产生所需数据记为K8;74LS244为三态门电路,用于设置各个寄存器的值,切记总线只有一个输入;两个74LS273部件作为暂存工作寄存器DR1和DR2;两个74374部件作为通用寄存器GR1和GR2;众多的开关作为控制电平或打入脉冲;众多的8段显示屏显示相应位置的数据信息;核心为8位ALU部件。

                       图2-2单总线结构的运算器示意图

 

实验电路如下:

 

其中的一些层次块:

74244_BLOCK内容:

74273_BLOCK内容:

74374_BLOCK内容:

K8_BLOCK内容:

8BIT_ALU_BLOCK内容:

实验内容:

 

按图2-3搭建电路,完成如下操作。

(1)  说明整个电路工作原理。

(2)  说明74LS244N的功能及其在电路中的作用,及输入信号G有何作用;

(3)  说明74LS273N的功能及其在电路中的作用,及输入信号CLK有何作用;

(4)  说明74LS374N的功能及其在电路中的作用,及输入信号CLK和OC有何作用;

(5)  K8产生任意数据存入通用寄存器GR1。

(6)  K8产生任意数据存入通用寄存器GR2。

(7)  完成GR1+ GR2→GR1。

(8)  完成GR1- GR2→GR1。

(9)  完成GR1∧GR2→GR1。

(10)完成GR1∨GR2→GR1。

(11)完成GR1⊕GR2→GR1。

(12)~GR1→GR2。(“~”表示逻辑非运算)

(13)~GR2→GR1。

实验解答:

   (1)整个电路的工作原理:该电路图完成一些基本的算数运算和逻辑运算,通过对181的控制端的输入,完成相应的运算。通过74LS244控制端输入相应的信号,送到总线,总线又把输入信号送到GR1,通过双击单脉冲,把输入信号送到DR1并在LED上显示出来,此时通过双击与273相连的脉冲,把输入信号暂时保存到DR1中,重复上述操作把另一个输入信号保存到DR2中。

   (2)74LS244为三态门电路,用于设置各个寄存器的值,切记总线只有一个输入;输入信号G是使能控制端,低电平有效。

   (3)74LS273部件作为暂存工作寄存器,暂时保存要进行运算的信号。CLR的作用即清零,清除保存到该寄存器的信号。

   (4)74374部件作为通用寄存器GR1和GR2,在此电路中是保存和传送信号。

OC的作用是OUTPUT CONTROL控制输出。

(5)通过双击与74LS273(0)相连的单脉冲,即把数据存入到了GR1,并在相应的LED上显示出来。

(6)同(5)。

(7)^(13)略。

总结以及心得体会:

通过这次实验,我深刻地体味到了计算机世界的神奇,运算都是一步一步来实现的,既有运算的部件,也有存储的部件,也有控制传数的部件,一张计算机网络就像是一个忙碌的生产空间,一切都通过cpu的调度(这里是通过自己手动控制),计算机完成了一项又一项的运算这样的话,我想,如果把控制指令也存储起来,是不是计算机就可以自己运动起来了?实际上计算机也是这样做的,这次实验,我理解了以前的很多疑惑,比如说计算机里为什么要有时钟脉冲?这里是为了实现一步一步的运算,没有时钟脉冲的话,计算机会是一片混乱,等等。计算机里面的操作,不再是书本上冷冷的几句话语,现在,它已经出现在我眼前!

实验三:乘法实现

实验名称

乘法实现

实验目的:

开放性实验,根据原理图实现乘法的电路设计以及运行。

实验原理:

如下图,下图是实现原码一位乘法运算的基本硬件配置框图,根据这幅图用一位相加方法实现乘法,自行选择器件设计电路并运行。

完成后的电路图如下:

74LS194_BLOCK内容:

实验内容:

略。

总结及心得体会:

    通过这次实验,我更加深刻地体会到了计算器内乘法器的实现方式,这个实验的过程颇有一些曲折,由于开始时的两个实验都有原理图可以参考,但是这个实验完全是开放性的,完全没有原理图可以参考,我一开始是以为自己完不成这个实验的,毕竟自己不是弄这一行的,自己也是抱着玩玩的心态来对待这个实验的,不过,结果却出人意料,我自己居然完成了这个实验,看来那句话是对的“你对自己说了100万次‘我不行’,但是大多的时候,你还是行的!”,也对,一件事情,自己如果都没有尝试过,怎么能说自己不行呢?所以一定要尝试,努力去做就对了,没做出来也没有什么要紧的!

     参考文件下载地址:

     http://pan.baidu.com/share/link?shareid=2908487098&uk=1831241436

计算机组成原理课程设计报告书相关推荐

  1. 计算机组成原理论文逻辑控制电路,计算机组成原理课程设计――设计逻辑控制电路cpu..doc...

    文档介绍: 计算机组成原理课程设计报告书题目:设计逻辑电路控制器CPU(含至少3条指令院系:计算机科学与工程学院专业班级:学号:学生姓名:指导教师:2015年12月15日安徽理工大学课程设计(论文任务 ...

  2. 计算机组成原理认识fpga,计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品.doc...

    计算机组成原理课程设计-基于EDA和FPGA技术的8位模型计算机的设计与实现_精品 目录 前言2 第一章 课程设计内容2 1.1 实验要求2 1.2 实验目的2 第二章 实验原理及方案2 2.1 实验 ...

  3. 计算机组成与原理如何书写DEC微指令,计算机组成原理课程设计16位机微程序控制器指令系统设计与实现.doc...

    计算机组成原理课程设计16位机微程序控制器指令系统设计与实现 一.设计题目 16位机微程序控制器指令系统的设计与实现 二.设计目的 通过看懂教学计算机组合逻辑控制器中已经设计好并正常运行的几条基本指令 ...

  4. 2016计算机课程设计,2016年计算机组成原理课程设计-硬布线控制器的设计.doc

    2016年计算机组成原理课程设计-硬布线控制器的设计 编 号: 学 号: 课 程 设 计 教 学 院计算机学院课程名称计算机组成原理课程设计题 目硬布线控制器的设计专 业计算机科学与技术班 级二班姓 ...

  5. 计算机组成原理课设总线,计算机组成原理课程设计(全).doc

    文档介绍: 计算机组成原理课程设计(全)成绩评定表学生姓名刘建成班级学号1103050115专业计算机科学与技术课程设计题目多寄存器减法\右移位\输入输出等指令实验计算机设计评语组长签字:成绩日期20 ...

  6. 计算机组成原理课程设计a,计算机组成原理课程设计报告.doc

    计算机组成原理课程设计报告.doc (13页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 9.90 积分 计算机组成原理课程设计报告实验项目:1.设计一 ...

  7. 重庆大学计算机课程设计,计算机组成原理课程设计报告重庆大学.doc

    计算机组成原理课程设计报告重庆大学 计算机组成原理课程设计报告 题目:微程序设计 专业:计算机科学与技术 班级: 指导老师: 目录 一.摘要 二.设计要求 三.微程序控制器原理 四.总体设计 五.测试 ...

  8. 山东理工大学计算机学院学号,计算机组成原理课程设计--山东理工大学计算机学院...

    <计算机组成原理课程设计--山东理工大学计算机学院>由会员分享,可在线阅读,更多相关<计算机组成原理课程设计--山东理工大学计算机学院(14页珍藏版)>请在人人文库网上搜索. ...

  9. 计算机组成原理4位ALU运算器设计,计算机组成原理课程设计-alu设计和4位锁存器设计.doc...

    计算机与通信工程学院 计算机组成原理课程设计 专业名称班级学号学生姓名指导教师设计时间207.12.23~2018.1.3 课程设计任务书 专业:: 学生姓名(签名): 设计题目: 设计实验条件 20 ...

最新文章

  1. window powershell 获取前后日期,格式化日期
  2. C++报错无效的预处理命令include_无废话--Mac OS, VS Code 搭建c/c++基本开发环境
  3. canvas在舞台上点击后图片旋转_View绘制系列(10)Canvas基础变换
  4. 【机器学习基础】机器学习中必知必会的 3 种特征选取方法!
  5. 【若依(ruoyi)】打开新的选项卡
  6. 中台不是万能药,关于中台的思考和尝试
  7. 今天,我种下了一朵小蓝花
  8. 独家:Havok 发布新的 AI 中间件
  9. iPhone公司为了节约成本,都干过什么事情?
  10. laravel整合workerman做聊天室
  11. UNIX 环境高级编程(七)—— 进程标识
  12. 一大波Java来袭(四)String类、StringBuilder类、StringBuffer类对照
  13. DGIOT国内首家轻量级物联网开源平台——真实电表接入实战教程
  14. Flink典型应用场景
  15. 阿拉伯数字金钱转中文大写
  16. 任正非谈管理--读书笔记
  17. FIL产出持续下降,FIL还会涨吗,还有参与的价值吗?
  18. css 手型 没生效,css各种手型集合(css禁止手型)(示例代码)
  19. 解读场景化视频制作工具的实现过程
  20. MYSQL学习笔记06:列属性[NULL,default,comment],主键,自增长,唯一键,数据库设计规范[范式(1NF,2NF,3NF),逆规范化],表关系[1V1,1VN,NVN]

热门文章

  1. 使用bert将中文文本转化成词向量的方法
  2. 蓝牙协议学习整理(一)蓝牙的概述
  3. Matplotlib画各种论文图
  4. html边框背景图片,css3 边框、背景、文本效果的实现代码
  5. Python多进程和多线程的使用场景
  6. 20 个关于程序员的笑话,看懂了,你就不会笑了,也不会羡慕他们工资高了!...
  7. linux模糊查找目录文件,详解Linux查找目录下的按时间过滤的文件
  8. ADB和monkey常用命令笔记
  9. PyTorch中repeat、tile与repeat_interleave的区别
  10. B/S与C/S模式比较