背景1:fsdb、vcd波形文件介绍

FSDB

Spring Soft (Novas)公司 Debussy / Verdi 支持的波形文件,一般较小,使用较为广泛,其余仿真工具如ncsim,modlesim 等可以通过加载Verdi 的PLI (一般位于安装目录下的share/pli 目录下) 而直接dump fsdb文件。
fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就像对VCD数据进行了一次huffman编码。因此fsdb数据量小,而且会提高仿真速度。我们知道VCD文件使用verilog内置的系统函数来实现 的,fsdb是通过verilog的PLI接口来实现的,例fsdbDumpfile, fsdbDumpvars等。

VCD

IEEE1364标准(Verilog HDL语言标准)中定义的一种ASCII文件,是通用的文件格式。它主要包含了头信息,变量的预定义和变量值的变化信息。正是因为它包含了信号的变化信息,就相当于记录了整个仿真的信息,我们可以用这个文件来再现仿真,也就能够显示波形,但是波形文件会很大。因为VCD是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都能够查看该文件,允许用户在verilog代码中通过系统函数来dump VCD文件。
特别,因为VCD记录了信号的完整变化信息,我们还可以通过VCD文件来估计设计的功耗,而这一点也是其他波形文件所不具备的。Encounter 和 PrimeTime PX (Prime Power)都可以通过输入网表文件,带功耗信息的库文件以及仿真后产生的VCD文件来实现功耗分析【现在工具是可以吃FSDB波形文件的,只是对版本有要求】。

其他波形文件
****各厂商不同的仿真或调试工具支持的文件类型,互不通用,但基本都可以由VCD文件转换而来(其实就是VCD文件的压缩版,因为只取仿真调试需要的数据,所以文件大小要远小于原始VCD文件),有的还提供与VCD文件的互转换功能。

背景2:用于PTPX功耗分析时

由于verdi版本更新较快,而后端工具迭代相对较慢,其功耗分析的工具有时候吃不了较新版本的fsdb波形 。
而vcd波形文件是较早统一的标准,且记录信息详细,可直接用于功耗分析工具的输入。

verdi:fsdb转vcd

1、直接在运行verdi的目录下:

fsdb2vcd -h 即可查看相关的命令帮助;

2、常用命令格式:

Examples:
1. Translate all signals.
# fsdb2vcd verilog.fsdb -o output.vcd
2. Translate signals within a specified scope and time range.
# fsdb2vcd verilog.fsdb -s /system/i_cpu -level 1 -bt 10 -et 1000
3. Generate a summary for this FSDB without performing the translation.
# fsdb2vcd verilog.fsdb -summary

3、fsdb转vcd指定时间

fsdb2vcd verilog.fsdb -bt 9543.998us -et 9845.713us -o output.vcd
未指定输出文件时按默认文件名输出,指定截取fsdb的一段波形转成vcd,时间后加单位(默认是ns)。

verdi fsdb转vcd波形:用于后端功耗分析相关推荐

  1. Xilinx 功耗分析

    1.功耗分析简介 在FPGA 设计中,功耗分析是成功设计的重要环节.针对 FPGA 设计中的功耗分析,Xilinx 公司推出了简单的速查表格和专用的功耗分析工具--XPower.对于开发初期的 FPG ...

  2. PTPX功耗分析实战, VCD, SAIF, FSDB的区别

    PTPX功耗分析实战, VCD, SAIF, FSDB的区别 PTPX功耗分析 VCD,SAIF,FSDB的区别 注:文章转载自微信公众号 RTL2GDS (微信号:rtl2gds) 你好,我是老本( ...

  3. 【原】FSDB波形转VCD波形

    [说明]有时候需要对波形进行功耗分析:FSDB波形转换成VCD波形: fsdb2vcd -h  即可查看相关的命令帮助: -bt  10 为波形截取的起始时间:单位默认为ns -et 1000 为波形 ...

  4. keycloak的access_token解析 用于后端接口鉴权

    keycloak的access_token解析 用于后端接口鉴权 keycloak 获取token https://{}:{}/auth/realms/{realm}/protocol/openid- ...

  5. 数字电路功耗分析基础

    功耗来源 一个单元的功耗分为静态功耗和动态功耗. 静态功耗 这是在没有发生翻转活动时消耗的功率.对于CMOS单元,这部分功耗主要来自于栅极漏电和亚阈值电流.这种静态功耗可能因输入引脚中的电压而异. 由 ...

  6. 数字IC设计——功耗分析

    一.概述 芯片的整体功耗很难通过简单的电流,电压或者电阻值的的相乘来计算.其原因在于,由于芯片作为具有复杂功能的器件,其功耗会根据其不同时段的不同行为,不同的外部条件而发生很大的变化. 1.1 功耗的 ...

  7. 开启数据流量功耗分析

    和你一起终身学习,这里是程序员Android 经典好文推荐,通过阅读本文,您将收获以下知识点: 一.确保手机硬件最优状态 二.确保手机以下三个基本场景功耗正常 三.确保没有网络传输导致电流抬升 四.m ...

  8. Bioinformatics BIB|港城大孙燕妮组用于识别和分析宏基因组数据中噬菌体序列的网站...

    PhaBOX: 用于识别和分析宏基因组数据中噬菌体序列的网站 PhaBOX: a server for identifying and characterizing phage contigs in ...

  9. Kaggle八项大奖斩获其6:用于筛选和分析文献的paperai

    点击上方,选择星标或置顶,不定期资源大放送! 阅读大概需要5分钟 Follow小博主,每天更新前沿干货 转载自:量子位 近日,一项用于筛选和分析文献的AI工具paperai,冲上了Reddit热榜. ...

最新文章

  1. 实用线性代数和凸优化 Convex Optimization
  2. mhk科技计算机报名时间,2021计算机等级考试报名时间
  3. Windows核心编程 第2 5章 未处理异常和C ++异常(上)
  4. Qt学习笔记之QMainWindow,QWidget,QDialog
  5. 超级管理器Android,超级文件管理器app
  6. android 应用区高度,Android创建显示区高度可以调整的ScrollView
  7. go 协程和协程通信
  8. sqlilabs 5
  9. Java 第五章 类的设计与包
  10. kdchxue讲解V9父栏目调用子栏目的办法
  11. H5常见面试题及答案(一)
  12. 物联网应用领域(全)
  13. 深度评测阿里云、腾讯云和华为云
  14. 低通,高通,带通滤波器,π型滤波器的工作原理
  15. properties语法
  16. 论Web Service 相关技术
  17. 竞赛图强连通分量大小幂和计数 - 组合计数 - 多项式
  18. 推荐几个优质的 Python 学习资料(良心推荐!非广告!)
  19. 未来20年,是中国消费服务业崛起的超级时代
  20. html语言中表格由什么组成,HTML中一个表单由什么组成

热门文章

  1. 巨杉2017行业认可盘点
  2. antd design Upload文件上传,删除,批量上传组件封装
  3. redhat 9.0 制作openssh rpm包(9.0p1/9.1/9.2/9.3 p1) —— 筑梦之路
  4. 基于vs2019的虚幻4环境搭建
  5. java实现fcfs_操作系统模拟FCFS作业调度(Java实现)
  6. mysql一秒支持多少个请求_假如想要建设一个能承受500万PV/每天的网站,服务器每秒要处理多少个请求才能应对?...
  7. 基于DOS的简易俄罗斯方块制作
  8. 跳出打工圈!程序员要如何走上创业逆袭路,获得百万、千万?
  9. 敏感词过滤之——自定义构建查询词库与快速查询实现
  10. 中国经济网:“元宇宙”炙手可热