前面章节,我们学习了怎么查看force信号,以及怎么在基于UVM平台下对信号进行force操作。今天,我们细致的研究下,force 信号对 RTL 代码中reg类型信号的影响。

先看例子:下面的例子中,clk,rst ,counter 三个信号,均声明为 reg 类型变量。我们着重关注一下 counter[7:0] 信号,该信号8bit,是一个计数器。

`timescale 1ns/1ps
import uvm_pkg::*;
//`include "uvm_pkg.sv"
//`include "uvm_macros.svh"
module tb_top();reg clk,rst;reg [7:0] counter;initial begin
clk = 0;
forever #5 clk = ~ clk;
endinitial begin
rst = 1;
#30 rst = 0 ;
#25 rst = 1;endalways @ (posedge clk or negedge rst) beginif(!rst) counter <= 8'b0;elsecounter <= counter +1;
endinitial begin
run_test("helloworld_test") ;
endinitial begin
$fsdbDumpfile("tb_top.fsdb");
$fsdbDumpvars(0,"tb_top");
end
endmodule

UVM平台中的代码:

`ifndef HELLOWORLD_TEST
`define HELLOWORLD_TEST

IC验证中的force/release 学习整理(5)研究对 reg类型信号的影响相关推荐

  1. IC验证中的force/release 学习整理(1)初见朋友

    作为芯片验证人员,我们势必用到force/release 机制.在某些corner case 下,它能助我们一臂之力.因为有些场景确实很难造出,我们必须借助于它们,才能达到我们预期的仿真场景.笔者同样 ...

  2. python语言中、复数类型中实数部分_python学习03.02:Python数值类型(整形、浮点型和复数)及其用法...

    实际开发中,我们经常需要使用数字记录游戏中用户的得分.游戏中角色的生命值.伤害值等信息,Python 语言提供了数值类型用于保存这些数值. 需要注意的是,Python 中这些数值类型都是不可改变的,也 ...

  3. Java学习整理系列之Java枚举类型的原理

    本以为RED只是一个Color类的一个static final的实例而已.但后然发现不是这样的,先看看下面的一种枚举类型使用的代码. [java] view plaincopy package com ...

  4. IC验证——SystemVerilog学习

    一般来说,在数字IC验证中,编写testbench文件会采用verilog,但随着设计越来越复杂,为了更方便例化模块,面向对象编程的SystemVerilog(以下简称SV)越来越流行. 下文部分图片 ...

  5. 系统学习iOS动画之七:其它类型的动画

    本文是我学习<iOS Animations by Tutorials> 笔记中的一篇. 文中详细代码都放在我的Github上 andyRon/LearniOSAnimations. 前面学 ...

  6. IC验证培训——实战SV验证学习(lab1)

    路科决定给大家介绍更多与验证入门相关的知识,因此准备把Synopsys公司的一个十分适合新手的SV实验介绍给大家.在上一期的先导篇中我们介绍了验证在IC行业中的地位,验证的工作内容和验证平台的大概结构 ...

  7. IC验证培训——实战SV验证学习(lab5)

    路科验证官网:路科验证 - 专注于数字芯片验证的系统思想和前沿工程领域 EETOP路科首页: EETOP - 路科验证 - IC验证培训 CSDN路科首页:CSDN - 路科验证 - IC验证培训 分 ...

  8. IC验证培训——实战SV验证学习(lab6)

    路科验证官网:路科验证 - 专注于数字芯片验证的系统思想和前沿工程领域 EETOP路科首页: EETOP - 路科验证 - IC验证培训 CSDN路科首页:CSDN - 路科验证 - IC验证培训 分 ...

  9. 入行IC验证工程师需要提前学习哪些内容?

    近几年,因为IC行业的薪资待遇高,很多人入行转IC验证工程师,这里面有不少人处于一个迷茫的状态.其实,大多数行业具有周期性,想在行业内长久发展的话,建议要好好学习.那么入行IC验证工程师需要提前学习哪 ...

最新文章

  1. 【原创·教程·连载】Microsoft SQL Server 2008 Reporting Services应用系列(一)安装篇...
  2. Python中的Optional和带默认值的参数
  3. python内置函数源码_python如何查看内置函数源码
  4. 批处理命令 / echo
  5. 百度分布式配置中心BRCC正式开源
  6. ubuntu上wordpress安装的前置工作
  7. Python爬取抖音app视频
  8. 黄东旭:When TiDB Meets Kubernetes
  9. 高一计算机word的试题,高一年级信息技术期末考试复习题
  10. 最强悍的FCKEditor配置和攻略(转载)
  11. 躁动不安的年代,你需要读几本好书(python爬虫及数据分析)
  12. 广告联盟的广告分类CPM、CPC、CPA、CPS分别代表什么?
  13. gcc参数-Wl,–gc-sections,不链接未用函数,减小可执行文件大小
  14. 【图文】实操重置密码
  15. 七牛云测试域名过期失效后の自救指南
  16. 小闫陪你入门 Java (三)
  17. 使用tb6612模块驱动直流电机
  18. 《漂浮城堡历险记》的云端之旅
  19. defaultChecked 和 checked 的区别
  20. matlab最基础教程(六):编程习惯

热门文章

  1. Javascript 参数归一化
  2. 杰理之如何离线安装杰理编译器?【篇】
  3. 采用策略分布曲线评估信用风险模型的效果
  4. Windows 电脑屏幕卡住问题解决方案
  5. 白帽子讲Web安全读书笔记
  6. ERP系统物料清单管理:自由选配,随需应变!
  7. 做一个像植物大战僵尸的Flash游戏3
  8. 基于三菱Q系列PLC的CC-Link IE Field Basic的伺服总线控制系统硬件组态设置
  9. 不同年龄段的孩子如何选择编程课程?它又能为我们带来什么?
  10. AfterEffect(AE)插件-常规功能开发-创建面板-js脚本开发