vivado入门教程

  • 基本步骤
    • 例程实现

第一次写博客,也是第一次使用vivado,自己也在学习之中,欢迎大家的评论啊!

基本步骤

一、新建工程

二、选择工程路径及命名

三、一路next到下图,确定芯片的型号

四、添加源文件

五、RTL分析

方法:选择下图的RTL分析下的Schematic
六、仿真
添加源文件,编写激励

方法:选择左侧的仿真分析即可(前提是代码没问题)

例程实现

分频,进行任意的M分频

`timescale 1ns / 1psmodule div(
input clk ,
output reg clk_out);reg [7:0] cnt =8'd0;reg clk_out=0;parameter M=8;
always @ (posedge clk)
begin if (cnt==M-1)beginclk_out=~clk_out;cnt=0;endelse beginclk_out=0;cnt=cnt+1'd1;end
endendmodule

激励

module div_sim;
reg clk;
wire clk_out;
div czq(clk,clk_out);
initialbeginclk=0;forever #10 clk=~clk;endendmodule

vivado入门教程相关推荐

  1. Vivado 2018.3入门教程(三):生成比特流文件+硬件连接

    这是我的Vivado 2018.3入门教程(三):生成比特流文件+硬件连接 我的Vivado 2018.3入门教程大致分为四个部分: Vivado 2018.3入门教程(一):创建工程+新建源文件. ...

  2. Zynq UltraScale+ ZCU102入门教程01-GPIO流水灯

    0.前言-永远的流水灯 之前玩过ZYNQ7020的板子,现在上手ZCU102:鉴于ZCU102的资料极少,仅可参考的教程只有官方文档,所以想写一系列教程,算是做个笔记,也为后来者提供参考.本章作为入门 ...

  3. Spartan Edge Accelerator Board 入门教程

    更多分享内容可访问我的个人博客 https://www.niuiic.top/ 本文介绍一块 FPGA 开发板--Spartan Edge Accelerator Board.也称Spartan-7 ...

  4. Kafka入门教程与详解

    1 Kafka入门教程 1.1 消息队列(Message Queue) Message Queue消息传送系统提供传送服务.消息传送依赖于大量支持组件,这些组件负责处理连接服务.消息的路由和传送.持久 ...

  5. 【CV】Pytorch一小时入门教程-代码详解

    目录 一.关键部分代码分解 1.定义网络 2.损失函数(代价函数) 3.更新权值 二.训练完整的分类器 1.数据处理 2. 训练模型(代码详解) CPU训练 GPU训练 CPU版本与GPU版本代码区别 ...

  6. python tornado教程_Tornado 简单入门教程(零)——准备工作

    前言: 这两天在学着用Python + Tornado +MongoDB来做Web开发(哈哈哈这个词好高端).学的过程中查阅了无数资料,也收获了一些经验,所以希望总结出一份简易入门教程供初学者参考.完 ...

  7. python向量计算库教程_NumPy库入门教程:基础知识总结

    原标题:NumPy库入门教程:基础知识总结 视学算法 | 作者 知乎专栏 | 来源 numpy可以说是 Python运用于人工智能和科学计算的一个重要基础,近段时间恰好学习了numpy,pandas, ...

  8. mysql query browswer_MySQL数据库新特性之存储过程入门教程

    MySQL数据库新特性之存储过程入门教程 在MySQL 5中,终于引入了存储过程这一新特性,这将大大增强MYSQL的数据库处理能力.在本文中将指导读者快速掌握MySQL 5的存储过程的基本知识,带领用 ...

  9. python tensorflow教程_TensorFlow入门教程TensorFlow 基本使用T

    该楼层疑似违规已被系统折叠 隐藏此楼查看此楼 TensorFlow入门教程 TensorFlow 基本使用 TensorFlow官方中文教程 TensorFlow 的特点: 使用图 (graph) 来 ...

  10. air调用java,AIR2.0入门教程:与Java应用交互

    在之前的一篇文章中,我介绍了如何使用AIR2.0新增的NativeProcess类与本地进程进行交互和通讯,在那个例子里面我们使用了C++ 的代码,实际上只要是基于命令行的标准输入输出,AIR2.0的 ...

最新文章

  1. python的标准库turtle_Python标准库: turtle--海龟绘图。
  2. 云计算技术 — 云计算的商业模式与部署模式
  3. openvino人脸
  4. 日本钢铁业排名(2014/11/5)
  5. 再次携号转网_“携号转网”日期再次确定!这三个开头的号码,可以优先办理转网...
  6. python基础——使用__slots__
  7. 报表怎样实现滚动的公告效果?
  8. Magento用的哪个php框架,初识magento框架代码目录
  9. linux服务器配置jdk1.8
  10. Fedora9-ATI显卡Gnome环境下Amarok和EVA花屏现象理会及其处理责罚方法。
  11. 提交表单上传照片预览
  12. ibm各种服务器visio图标vss文件,visio网络图标库vss大全
  13. 小米笔记本安装Win 10历程
  14. 记一个阿里巴巴 平台型产品经理 面试
  15. 嘉兴学院c语言期末考试题库,液压与气压传动(嘉兴学院)知到APP答案
  16. jt808终端鉴权_北斗终端设备通信协议(JT/T808)
  17. AliOSS上传图片
  18. L0 Norm 、L1 Norm 和 L2 Norm 的简单理解
  19. 线性可分支持向量机、线性支持向量机、非线性支持向量机的区别
  20. 傲游研发中心在京成立

热门文章

  1. 疯狂软件Oracle数据库视频
  2. oracle数据库的监听配置
  3. ABBYY FineReader 12 破解版(附注册码)
  4. vs2010旗舰版密钥
  5. 如何快速学python
  6. dz09手表刷android,DZ09手表MTK6260刷机包和工具
  7. GIS 地图制作 学习总结
  8. Python 安装库文件 is not a supported wheel on this platform的解决方案
  9. 上海电信光猫设置虚拟服务器,你们想要的上海电信光猫桥接+4K IPTV配置流程...
  10. JQuery高效制作网页特效第六章jQuery选择器课后作业