函数说明(建议参考官方文档)

  • freqz(h,w)可以用来求指定的单位脉冲响应向量 h 在一组给定频率点 w 上的频率响应值
  • 由这些频率响应值,可以用函数 real 和 imag 计算实部和虚部,函数 abs 和 angle 计算幅度和相位
  • M 点滑动平均滤波器
    H(ejω)=1Msin(ωM/2)sin(ω/2)e−jω(M−1)/2ϕ(ω)=−ω(M−1)/2H(e^{j\omega})=\frac{1}{M} \frac{sin(\omega M/2)}{sin(\omega/2)}e^{-j\omega(M-1)/2}\\ \phi(\omega)=-\omega(M-1)/2 H(ejω)=M1​sin(ω/2)sin(ωM/2)​e−jω(M−1)/2ϕ(ω)=−ω(M−1)/2
h1 = ones(1,6)/6;
h2 = ones(1,15)/15;
[H1,w] = freqz(h1,256);
[H2,w] = freqz(h2,256);
figure
subplot(211)
m1 = abs(H1);
m2 = abs(H2);
plot(w/pi,m1,'r-',w/pi,m2,'b--');
ylabel('幅度');
xlabel('\omega/pi');
legend('M=6','M=15');
subplot(212)
ph1 = unwrap(angle(H1)*180/pi);
ph2 = unwrap(angle(H2)*180/pi);
plot(w/pi,ph1,'r-',w/pi,ph2,'b--');
ylabel('相位');
xlabel('\omega/pi');
legend('M=6','M=15');

代码来自南京邮电大学《数字信号处理》,仅做学习使用

freqz()计算M点滑动平均滤波器的频率响应相关推荐

  1. 滑动平均滤波器与CIC滤波器

    文章目录 前言 一.传递函数 1.什么是传递函数 2.FIR与IIR在传递函数上的区别(FIR) 3.FIR与IIR在传递函数上的区别(IIR) 4.FIR的抽头系数/FIR的阶数 5.FIR滤波器的 ...

  2. C语言实现滑动平均滤波器

    目录 前言 原理 代码 讨论 改进 参考文章 前言 使用电机速度做闭环控制时,发现传感器的数据受到了高频噪声的影响,于是想先对其进行滤波处理. 原理 滑动平均滤波器本质是一个低通滤波器,可以看成FIR ...

  3. python的pandas计算5天滑动平均气温,并批量计算春季起始日

    近期有个计算春天的需求,网上搜了下大多是用MATLAB中的smooth函数的思路写的.正好学到pandas几个强大的数据处理方法,想着自己试试. pandas提供了大量能使我们快速便捷地处理数据的函数 ...

  4. matlab 滑动平均滤波,滑动平均滤波器实验报告

    滑动平均滤波器实验报告 所属分类:matlab例程 开发工具:matlab 文件大小:798KB 下载次数:19 上传日期:2018-01-27 16:12:36 上 传 者:玉玲珑 说明:  给出一 ...

  5. proteus仿真micropython_基于micropython的滑动平均滤波器

    滑动平均滤波也叫递推平均滤波. 把连续取得的N个采样值看成一个队列,队列的长度固定为N,每次采样到一个新数据放入队尾,并扔掉原来队首的一次数据(先进先出原则),把队列中的N个数据进行算术平均运算,获得 ...

  6. 嵌入式–滑动平均滤波算法

    嵌入式–滑动平均滤波 ​ 滑动平均值滤波是指先在RAM中建立一个数据缓冲区,依顺序存放N个采样数据,每采进一个新数据,就将最早采集的那个数据丢掉,而后求包括新数据在内的N个数据的算术平均值.这样,每进 ...

  7. 滑动平均滤波/递推平均滤波算法

    说明: 把连续N个采样值看成一个队列,队列长度固定为N: 每采样到一个新数据放入队尾,就扔掉队首的一个数据.把队列中的N各数据进行平均运算,即获得新的滤波结果. 优点: 对周期性干扰有良好的抑制作用, ...

  8. matlab 计算汉明距_matlab实现滑动平均滤波

    什么是滑动均值滤波 滑动平均滤波就是把连续取得的N个采样值看成一个队列,队列的长度固定为N,每次采样得到一个新数据放到队尾,并丢掉原来队首的一次数据,把队列中的N个数据进行平均运算,就可以获得新的滤波 ...

  9. π型滤波频率计算_滑动平均滤波的截止频率与平均点数计算

    1.介绍 滑动平均值滤波可以去除随机噪声.测量中随机噪声的影响,使测量结果不准确,通过多次测量同一数据源,使用多点集合平均的方法得到数据一个比较合理的估计就是滑动平均值滤波. 例如第80采样点的5次平 ...

最新文章

  1. mysql 单标递归_MySql整理篇之递归
  2. 一步一步学Silverlight 2系列(35):升级Silverlight 2 Beta 1应用程序到Beta 2
  3. JRebel : java.lang.OutOfMemoryError: PermGen space 异常
  4. MATLAB统计与回归
  5. 3s新闻周刊第9期,本期策划:电子地图的出路
  6. CSS魔法堂:hasLayout原来是这样!
  7. [开源]快速构建验证码
  8. FactoryBean在XML中的依赖注入方法
  9. 【离散数学】集合论 第三章 集合与关系(4) 集合的归纳定义、归纳证明、数学归纳法第一/二原理
  10. 支持傲腾技术的服务器主板,采用英特尔® 傲腾™ 技术的数据中心存储
  11. 英语基础语法学习笔记 0
  12. x内存满白苹果解决_苹果x出现白苹果的现象及解决办法
  13. 《python程序语言设计》第2章第15题几何正六边形面积。用def和class来完成
  14. r语言boxcox异方差_如何检测异方差并纠正它?
  15. 做seo软文编辑撰写经验
  16. 历经5年,一次业余网页游戏项目惨痛的失败经历
  17. linux系统查看usb转串口驱动,Linux usb转串口驱动
  18. 这篇Docker的网络通信与数据共享文章看不懂你来打我吧
  19. 银河麒麟服务器ZYJ操作系统,文件储存inode节点占用根目录/空间满了解决办法
  20. 股份有限公司的章程包括哪些内容?

热门文章

  1. 查看手册命令 Oracle,ORACLE 数据库常用日常维护命令手册
  2. java 代码智能提示,如何在Eclipse中设置Java、JavaScript、HTML智能代码提示
  3. 产品开发管理方法工具流程 pdf_HR必备薪酬和绩效管理方法论、工具、案例
  4. Web API-事件
  5. 【MYSQL笔记】MYSQL监视器
  6. 【深度优先搜索】计蒜客:中国象棋
  7. 【深度优先搜索】20行代码解决8皇后问题
  8. Leetcode445 两数相加||(单链表)
  9. FFmpeg源代码简单分析:日志输出系统(av_log()等)
  10. org.apache.ibatis.builder.BuilderException: An invalid property ‘jdbcType ‘ was found in mapping