**

Debussy软件简介及仿真教程

**
一、Debussy 软件简介
Debussy 是 NOVAS Software, Inc(思源科技)开发的 HDL Debug & Analysistool,这个软件主要不是用来跑模拟或看波形,它最强大的功能是能够在 HDLsource code、schematic diagram、waveform、state bubble diagram 之间,即时做 trace,协助 FPGA 工程师 debug。
Debussy 是非常实用的 Verilog 或 VHDL 的调试工具,可以帮助设计者快速理解复杂的设计,尤其是初次接触他人写的代码的时候,另外还能够查找和定位设计中存在的 bug,提高效率。
可能您会觉的只要有仿真器,如 ModelSim,就可以做 debug 了,我何必再学习这个软件?实际上这个软件能够帮助设计者快速理解代码、波形和原理图之间的联系,使得调试变得十分地便捷。
Debussy V5.0 以后的版本,还提供了 nLint 检查工具,它的检查规则十分严苛,能够帮助 check coding style & synthesizable,从某些方面可以协助工程师了解 coding style,并养成好的编写代码的习惯。 当然良好的 coding style 也需要结合厂商的 FPGA 底层结构单元来具体分析,而 nLint 工具并不会从这个方面对代码进行检查。所以,如何提高 coding style 是一个综合性很高的话题,不是单从某一方面入手就能解决问题,这些都是后话了。
Debussy 是支持 Windows 环境的,它的新版本改名为 Verdi,增加了一些功能,但是新版本的 Verdi 只能支持 Linux 环境。
二、Debussy 软件架构
Debussy 有四个主要单元(component),nTrace、nWave、nSchema、nState:

  1. nTrace

    nTrace -- Hypertext source code analysis and browse tool(为%Debussy &所开启的主画面);
    
  2. nWave

    nWave -- Waveform analysis tool(可由 nTrace 内开启,或直接%nWave &开启);
    
  3. nSchema

    nSchema -- Hierarchy schematic generator;
    
  4. nState

    nState -- Finite State Machine Extraction and analysis tool;
    

Debussy 的软件架构如图 1 所示:

图 1 Debussy 软件的架构
Debussy 直接编译 Verilog 或 VHDL 源代码,采用预综合技术来识别电路元件,在这个基础上可以生成原理图。由于自身不含仿真器,必须通过外部仿真器(如 ModelSim)来产生 fsdb 文件,其显示波形的单“nWave”通过读取 fsdb文件,才能显示波形的变化。
Debussy 支持的波形格式是 fsdb,是 Fast Signal Database 的简写。Debussy也可以读取 vcd 文件,在它读入 vcd 文件时,先自动把 vcd 文件转换成 fsdb 文件,然后再读入 debussy。
Debussy 提供的新的波形文件格式 fsdb 相比 vcd 格式,不仅压缩量大,而且加载速度快。提供了 PLI(for Verilog)和 FLI(for VHDL)接口,我们可以在仿真时直接导出 fsdb 文件。Debussy 也提供了用于转换 vcd 文件为 fsdb 文件的程序(vfast)。当用 nWave 导入 vcd 文件时,自动调用 vfast 转换成 fsdb 文件。
三、Debussy 软件设置方法
安装软件后,需要进行如下步骤的设置,才能正常使用 Debussy:
Step1 : 找 到 Debussy 软 件 的 安 装 目 录 , 再 把 该 安 装 目 录 下 的E:\software\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll 文 件 复 制 到ModelSim 软件的安装目录下的 modeltech_10_0\win32 中(我以 ModelSim10.0 版
本文基础做介绍,其它版本,如 6.5,目录名为 modeltech_6.5)。
Step2:在 modeltech_10_0 目录中找到 modelsim.ini 文件,右键点击,选择属性,在属性对话框中去掉只读属性,使用文本编辑工具打开该文件,找到如图2所示位置:

图 2 修改 modelsim.ini 文件
Step3:如图 3所示,建立系统变量,变量值指向 Debussy 安装目录中的 bin路径下:

图 3 设置环境变量
Step4:找到 Debussy 安装目录,将 Debussy 提供的文件夹 modelsim_pli54复制到 ModelSim 软件的安装目录 modeltech_10_0 中去。
Step5 : 新 建 用 户 环 境 变 量 PLIOBJS , 变 量 值 指 向 到E:\software\modeltech_10_0\modelsim_pli54\WINNT\ novas.dll,如图 4 所示:

图 4设置环境变量
Step6:设置完环境变量后,就能够在 ModelSim 中调用 Debussy 的系统函数了,在 Test Bench 文件中添加如下代码:

 initial begin$fsdbDumpfile(“test.fsdb”); ///指定存储波形的文件名,后缀为 fsdb$fsdbDumpvars;end

添加后,我们再次通过 ModelSim 仿真运行后就能够在 ModelSim 的工程目录下生成 fsdb 文件了。
四、Debussy软件使用方法
在使用 Debussy 软件过程中,软件并不能直接智能地识别 Verilog-2001 代码,需要对软件进行一下设置,否则添加文件时软件就会报出很多错误告警,而且也看不到原理图,设置的方法如下:
点击工具栏的 File,选择 Import Design…,再点击对话框右侧的 Options,在弹出的 Import Design Options 对话框中输入“-2001”,如图 5所示:

图 5 添加支持 Verilog-2001 标准的设置
设置完成后,就能正常导入文件,进行仿真和调试了,导入方法是点击工具栏的 File,选择 Import Design…,在文件列表框中选中待观察的文件夹,接着全部选中出现的文件,再点击 Add 即可完成文件导入,如图 6 所示:

图 6导入文件
导入后便可以使用 Debussy 很方便的查看整个设计的原理图了,点击工具栏的 Tools,点击 New Schmatics,选择 Current Scope,整个设计的顶层原理图就被显示出来,如图 7 所示:

图 7 查看设计原理图
如果需要进行仿真,还需要导入 fsdb 文件,导入的方法是点击工具栏的 Tools,点击 New Waveform,选择 open,把在 ModelSim 工程下生成的 fsdb 文件导入进来,之后选择关心的信号添加进仿真即可。
使用这种仿真方法的优势在于,ModelSim 通常不能够随意添加信号到仿真列表,一次仿真完成后,如果发现遗漏了某个信号,就必须添加该信号,再重新跑一边仿真,有时候遇到很大的设计工程时,就会比较费时费力。而是用 fsdb文件在 Debussy 下仿真则不会出现这种情况,能够随意添加信号到仿真列表,为仿真带来很多便利。

Debussy软件简介及仿真教程相关推荐

  1. win10修改用户名_ADAMS2020中/英版软件下载和安装教程|兼容WIN10

    Adams2020(64位)下载链接: www.zhanshaoyi.com/14489.html (长按复制下载链接粘贴到浏览器中打开) 软件简介: ADAMS是一款著名的机械系统动态仿真分析软件, ...

  2. 连接器信号完整性仿真教程 二

    在连接器信号完整性仿真教程一中Step by Step演示了如何进行连接器信号完整性仿真,看完这片博文后应该可以做类似产品的仿真.如果说,看了这篇博文就学会了连接器信号完整性仿真,那就有点过了.有人也 ...

  3. CV之OpenCV:OpenCV库涉及概念、常见函数、常用案例、HALCON软件简介之详细攻略

    CV之OpenCV:OpenCV库涉及概念.常见函数.常用案例.HALCON软件简介之详细攻略 目录 CV入门 OpenCV使用过程 1.基本教程 OpenCV的相关概念 1.基本概念

  4. 传输预编码matlab,基于MATLAB的MIMO系统预编码性能仿真教程.doc

    基于MATLAB的MIMO系统预编码性能仿真教程 PAGE \* MERGEFORMAT - 33 - 摘要在现今的移动通信系统中,被极多的国际通信标准采纳为基础性关键技术的一种方法是多输入多输出的技 ...

  5. 局域网IP地址查看软件V1.2使用教程 Python办公自动化

    简介: 在企业.公司都有自己的局域网,作为维护网络运维人员还在使用纸质或电子excel表记录计算机IP信息.是不是很希望有一款工具软件能够管理局域网内的计算机IP地址,可以统计出不同VLAN下的已使用 ...

  6. ab plc编程软件_AB编程软件及所有组态软件授权及安装教程包含FTViewV11

    前面发了几个求助的帖子,希望有朋友能提供些Factory Talk View V11的安装和授权,但是一直没有什么回复,今天结合别人的经验,算是把授权安装搞定了,在这里发布出来,希望能帮助到更多的朋友 ...

  7. w ndows2000,华塑CAE软件简介

    华塑CAE软件简介 华塑CAE软件是华中科技大学模具技术国家重点实验室华塑软件研究中心推出的注塑模具成型华塑CAE3D系列软件,采用了国际上流行的OPENGL图形核心和高效精确的数值模拟技术,华塑CA ...

  8. Debussy软件的脚本调用

    Debussy软件的脚本调用 在这里进行记录方便自己的学习和日后查看,技术提升的本质是分享,共同进步,感谢我的同事小伙伴对我的无私的分享,希望也能对你有所帮助. 首先这里先给出debussy的叙述使用 ...

  9. debussy和modelsim联合仿真配置

    debussy是一款十分强大的波形查看软件,乃FPGA调试必备杀器之一,下面介绍一下debussy和modelsim联合仿真的配置方法. 1.安装debussy和modelsim软件. 2.复制C:\ ...

最新文章

  1. 视频+课件| PointDSC:基于特征匹配的点云配准方法(CVPR2021)
  2. 基于脑电图的情绪识别BCI应用于DOC患者
  3. Linux—帐号和权限管理
  4. 使用JQuery实现延迟加载UserControl
  5. Spring MVC 3.2.2 +easyui 返回JSON数据格式
  6. Imbalanced data – Finding Waldo
  7. 自己使用的一个.NET轻量开发结构
  8. python买东西_Python实战之ATM+购物车
  9. 进程间通信之共享内存
  10. Magento 自定义分页代码 How to change pagination design in product listing page in magen
  11. python marshal 对象序列化和反序列化
  12. [文艺节目/礼仪大赛策划方案]图:选手出场时?如何用Flash透明渲染PPT?展示排行榜时如何使用PPT及Flash渲染?
  13. java hsqldb数据库_【DataBase】Hsqldb的简单使用
  14. subst ( 将任意目录挂载成虚拟磁碟机 )
  15. 两直线平行交叉相乘_十字交叉双乘法没有公式
  16. 世界上最著名也最危险的APT恶意软件清单
  17. 助力企业数字化转型 | 斑羚在线、环宇数通、乘云科技入选阿里云原生合作伙伴计划
  18. cv::fitLine用法
  19. Proximal Policy Optimization (PPO) 算法理解:从策略梯度开始
  20. 北航计算机学院学硕分数,考研|北航各学院复试分数线陆续公布,原来这个专业分数最高!...

热门文章

  1. 病毒木马入侵招数专题
  2. IBM TSM 6.3学习笔记(1)
  3. 【计算机组成原理】学了计组,CPU执行时间你肯定会算了吧
  4. 深造分布式 打败面试官 招式二 新手上路
  5. RevitAPI: 当前视图为透视图的时候IdlingEvent不会被触发
  6. 浙大吴飞“舌战”阿里贾扬清:AI内卷与年薪百万,哪个才是真实?
  7. python读取usb数据显示_在python中从各种usb设备读取和存储各种数据
  8. python读取配置文件列表失败_从配置文件python中读取列表
  9. 查看IP和MAC地址的命令
  10. 2020年5月面试精心整理java面试题,覆盖了大部分面试题(附答案)