采用三段式的方法写一个自动售卖机,功能如下:

共有三种纸币入口,分别支持10元,20元,50元。货物售价80元。需要支持找钱功能(注意,找钱有两种情况,90元和100元;110元和120元的情况不符合实际应用场景,所以不存在找钱的情况。)带有用七段式数码显示管显示当前总金额的功能。

七段数码管原理图如下:

七段数码显示管代码:简单模块通过case实现,无需多言。

FSM状态转换关系如下:摩尔型状态机

设计思想:

采用三段式,第一段同步时序逻辑,描述状态转换关系。第二段采用组合逻辑判断状态转移条件,第三段描述状态的输出。

代码如下:

简单的测试代码:

结果波形:

如波形所示,投入一枚50元,十位显示管显示5,个位百位均显示0,再次投入50,显示管显示100,同时出货,并找零20,下个状态归零。投入4个20元,显示管20,40,60,80,出货,不找零。再投50元和两个20元,显示管依次50,70,90并找零10元。之后投入20,10,50,显示管20,30,80,出货不找零。功能正常。

带七段式数码显示管的自动售卖机设计(verilog自学笔记之有限状态机的设计)相关推荐

  1. Verilog学习笔记6:层次化设计-数码管位选

        本日志继续介绍Verilog的层次化设计方法,数码管的位选,电路图不变,如下图所示.     该电路图由8位数码管组成,这8位数码管共用一组段选,所以为了让这8位数码管显示不同的数字,必须利用 ...

  2. 带中文字库的12864LCD显示程序

    //带中文字库的12864LCD显示程序 #include <reg52.H> #include <intrins.H> #define uchar unsigned char ...

  3. 七段数码显示管—设计报告,visio图,Multisim仿真

    一位七段数码显示管(附加设计报告,visio图,和Multisim仿真 第一次写博客,第一次用标记语言,写的不好请见谅! - -题目要求- - 采用74ls147芯片,74ls04,74ls48n和共 ...

  4. 电信院 创新创业实践二 FPGA Verilog vivado 数码显示管 显示译码器

    一.实验目的 用case语句设计一个显示译码器,并学习如何在EGO1实验板上使用7段数码管显示数字.要求数码管显示一个数字为学号(如2016****100)最后一位(如0). 二.实验仪器 计算机(安 ...

  5. led数码显示控制plc实验_实验三 LED数码显示控制 PLC实验报告

    广州大学学生实验报告 开课学院及实验室:工程北 529 2015 年 5 月 28 日 学院 机械与电气 工程 年级. 专 业.班 姓名 学号 实验课程名称 电气控制与可编程控制器 成绩 实验项目名称 ...

  6. led数码显示控制plc实验_实验三led数码显示控制plc实验报告

    开课学院及实验室:工程北 529 2015 年 5 月 28 日 学院 机械与电气 工程 年级. 专 业.班 姓名 学号 实验课程名称 电气控制与可编程控制器 成绩 实验项目名称 实验三 LED 数码 ...

  7. led数码显示控制plc实验_实验三LED数码显示控制PLC实验报告.doc

    实验三LED数码显示控制PLC实验报告 广州大学学生实验报告 开课学院及实验室:工程北529 2015年 5 月28 日 学院机械与电气工程年级.专业.班姓名学号实验课程名称 电气控制与可编程控制器成 ...

  8. FPGA控制的数码显示电路

    实现的功能:8个数码管轮流显示8个数字,若频率合适,可以实现8个数码管同时被点亮的视觉效果 1.CL5461AS CL5461AS 数码管管脚图如下图所示,它将四个数码显示管的a-g 及小数点dp 管 ...

  9. .NET Winform 的七段数码显示控件

    自行开发的.NET Winform 下的七段数码显示控件.文章请看: http://www.codeproject.com/KB/miscctrl/SegmentedLEDControl.aspx 最 ...

最新文章

  1. java error could_Java.lang.Error: Properties init: Could not determine current working directory.
  2. 微软VDI动手实验之应用模型及部署攻略
  3. php在一定范围内去随机整数,php-如何从随机位生成范围内的整数
  4. .net 出现的异常
  5. Windows Server 2003 DNS服务安装篇
  6. 高等组合学笔记(十): 分拆数恒等式, 分拆函数同余性质
  7. Add_List2Dictionay
  8. Backbone.js 1.0.0源码架构分析(一)
  9. Linux系统(一)文件和目录理解
  10. eclipse启动时间很慢的调优解决
  11. SQL中一种类似GUID值的函数实现
  12. php数据库密码查询,php数据库查询及密码匹配的功能
  13. 百度旋转验证码打码模块,集成鱼刺模块类
  14. 台式计算机时间不能同步,电脑时间不能同步的原因和图文解决方法
  15. 贴片钽电容封装及规格和参数资料
  16. 鼠标失灵c语言代码,[转载]键盘和鼠标操作失灵代码
  17. ExtraMAME(mame模拟器)官方正式版V21.0下载 | ExtraMAME模拟器
  18. Android 手机设置中铃声设置里的通知音量与铃声音量的分离
  19. aspose导出word转pdf并加水印
  20. 4、selenium3的安装

热门文章

  1. hadoop大数据工程师、数据开发工程师、数据仓库工程师 面试题目分享
  2. ROG 冰刃 3 枪神 2 Plus 第二时间上手体验
  3. overlayfs源代码解析
  4. java SSM 框架 多数据源
  5. Java工具集-哈希(HashUtil)
  6. Redis及可视化工具安装
  7. 用IP地址签发SSL证书
  8. Python的异步编程介绍
  9. linux设备模型:devtmpfs虚拟文件系统分析
  10. MAC电脑修改ssh端口