本日志继续介绍Verilog的层次化设计方法,数码管的位选,电路图不变,如下图所示。


    该电路图由8位数码管组成,这8位数码管共用一组段选,所以为了让这8位数码管显示不同的数字,必须利用人眼的视觉暂留效应,在时间上的某一时刻,指选中1位数码管。
    数码管的选通电路,采用PNP三极管,低电平有效,也就是说,我们希望选中的数码管需要置成0,而其它位都必须置成1。
    Verilog代码如下:

module mod_dig(number, dig);
input[3:0] number;
output[7:0] dig;
reg[7:0] dig;
parameter DIG7 = 8'b01111111;
parameter DIG6 = 8'b10111111;
parameter DIG5 = 8'b11011111;
parameter DIG4 = 8'b11101111;
parameter DIG3 = 8'b11110111;
parameter DIG2 = 8'b11111011;
parameter DIG1 = 8'b11111101;
parameter DIG0 = 8'b11111110;
parameter DIGN = 8'b11111111;
always @(number)
begin
case (number)
3'd7: dig <= DIG7;
3'd6: dig <= DIG6;
3'd5: dig <= DIG5;
3'd4: dig <= DIG4;
3'd3: dig <= DIG3;
3'd2: dig <= DIG2;
3'd1: dig <= DIG1;
3'd0: dig <= DIG0;
default: dig <= DIGN;
endcase
end
endmodule

解释如下:
    1、定义模块,并取名为mod_dig,意为位选模块。
    2、带有2个端口分别为number和dig,number用于传递需要选中的数码管位,dig用于真正操作数码管选中相应的位。
    3、将端口number方向定义为输入(3位),将端口dig方向定义为输出(8位)。
    4、定义dig为寄存器型,以便于在always@中同时动作,以避免“竞争-冒险”现象的发生。

    5、设置位选参数。
    6、在always@中完成数字到位选的转换。

有任何问题欢迎留言,我尽最大可能予以解答,知而获智,智达高远。

原创性文章,转载请注明出处 http://user.qzone.qq.com/2756567163。 
CSDN:http://blog.csdn.net/qingwufeiyang12346。

Verilog学习笔记6:层次化设计-数码管位选相关推荐

  1. verilog学习笔记之一--(简化)华莱士(Wallace)树形乘法器设计--(原代码出自用芯学项目)

    verilog学习笔记之一–(简化)华莱士(Wallace)树形乘法器设计–(原代码出自用芯学项目) 学习准备1: 树形乘法器原理:参考<数字集成电路-电路.系统与设计(第二版)>–P43 ...

  2. verilog学习笔记- 14)静态数码管显示实验

    目录 简介: 实验任务: 硬件设计: 程序设计: 下载验证: 简介: 数码管也称半导体数码管,它是将若干发光二极管按一定图形排列并封装在一起的一种数码显示器件.常见的数码管如图这种数码管主要被称为八段 ...

  3. Verilog学习笔记——入门

    Verilog学习笔记 01 基本逻辑门代码设计与仿真 Veriog基本逻辑门代码结构--以一位反相器为例 ModelSim仿真基本流程 02 组合逻辑代码设计与仿真--多路选择器 二选一逻辑--as ...

  4. Verilog学习笔记-——Verilog模块例化

    Verilog学习笔记---Verilog模块例化 在一个模块中引用另一个模块,对其端口进行相关连接,叫做模块例化.模块例化建立了描述的层次.信号端口可以通过位置或名称关联,端口连接也必须遵循一些规则 ...

  5. Verilog学习笔记

    Verilog学习笔记 本文根据学习菜鸟教程下Verilog教程总结得到,主要记载一些硬件语言描述下的骚操作,仅供学习. 归约操作符 归约操作符包括:归约与(&),归约与非( ~ &) ...

  6. 【Verilog学习笔记】D触发器(门级和行为级)+4位寄存器+一个完整的激励程序

    [Verilog学习笔记]D触发器(门级和行为级)+4位寄存器+一个完整的激励程序 首先展示以下完整的程序 `timescale 1ns / 1psmodule hardreg( input wire ...

  7. wyy课堂cmos模拟设计课学习笔记-gm/Id设计方法介绍及曲线仿真

    wyy课堂cmos模拟设计课学习笔记-gm/Id设计方法及曲线仿真 总思路 需要的图像曲线 软件操作 举例说明 总思路 这在b站上也有教学视频:https://www.bilibili.com/vid ...

  8. TheBeerHouse 网站项目学习笔记(5)---架构设计

    前述讨论:    TheBeerHouse 网站项目学习笔记(1)----换肤技术                     TheBeerHouse 网站项目学习笔记(2)----个性化管理      ...

  9. Zemax学习笔记(11) - 变焦镜头设计实例

    Zemax学习笔记(11) - 变焦镜头设计实例 1.概述 2.设计 2.1设计要求 2.2 设计过程 2.3 变焦镜头的优化设置 3. 总结 1.概述 成像镜头包括定焦镜头和变焦镜头,我们在日常生活 ...

最新文章

  1. 大数据开发源码视频今日免费送!
  2. 使用tortoise git管理gitolite版本库
  3. MVC专题研究(三)——数据绑定和传送
  4. 深度学习核心技术精讲100篇(十四)-一文带你看懂GPflow的前世今生
  5. Android RecyclerView实现横向滚动
  6. 011 数据结构逆向—二叉树
  7. 应届毕业生突破909万,数据盘点哪行工资最高,有你心仪的吗?
  8. C++语言之父 Bjarne Stroustrup 简介
  9. *** line xxxx of `fraud.data': bad value of xxxx for attribute `id_11'
  10. php 邮箱重置密码错误,discuz邮箱重置密码参数失败的解决方法
  11. 【Kafka】UnsupportedVersionException: The broker does not support DESCRIBE_LOG_DIRS
  12. 两个分数化简比怎么化_怎么化行最简形矩阵?
  13. matlab 游标 精度,如何在MATLAB数据光标中显示更高精度的数字?
  14. Centos6 import tensorflow遇到的问题
  15. MySQL学习笔记2:数据库的基本操作
  16. elipse下载及安装
  17. 四川省内二本计算机公立好的大学排名,盘点四川省最好的几所二本大学
  18. switch 贯穿的妙用
  19. 微信分享之分享图片/分享图标不能显示
  20. 多媒体 || GIF压缩与JPEG压缩的对比

热门文章

  1. L1-3 洛希极限 (10分)
  2. OFFICE技术讲座:标点符号-中文-大全
  3. 铺铜的之后使过孔的联接不像热焊盘那样呈十字交叉状
  4. 手把手教你用plotly绘制excel中常见的16种图表(上)
  5. 华为哪款手机安装了鸿蒙系统,华为鸿蒙系统支持哪些手机 可以装华为鸿蒙系统手机...
  6. 【源码学习】ScatteringByteChannel的read方法
  7. adb检测不到安卓设备
  8. IDEA 2019 图文教程: 从入门到上瘾教程
  9. 一种基于SURF算法的图像拼接方法
  10. 2022/12/22言语表达与理解(一)