前  言

在当今信息化的社会中,集成电路已成为各行各业实现信息化、智能化的基础。无论是在军事还是民用上,它已起着不可替代的作用。集成电路产业是全球范围内的核心高科技产业之一,具有战略性和市场性双重特性。在国防和国家安全领域,集成电路起着维护国家利益,捍卫国家主权的关键作用;在经济建设和增强综合国力的过程中,集成电路又是核心竞争力的具体表现。自20世纪中期以来,集成电路产业遵循摩尔定律飞速发展。集成电路产业的兴起奠定了现代信息技术的基石,现代信息技术正迅速地改变世界人们的生活方式,没有半导体技术突飞猛进的发展就没有信息技术日新月异的变化。
集成电路后端设计技术是集成电路设计中的关键技术,宇航级、军用级和高性能级芯片都需要先进的后端设计技术来支撑。目前我国集成电路后端设计能力远落后于国外发达国家,而且高端的后端设计技术基本被少数几个发达国家的集成电路设计公司所垄断,因此发展和提高我国集成电路后端设计能力具有打破国外技术垄断和封锁的重要意义。
本书主要内容
全书共有14章,第1~2章概述集成电路发展状况及后端设计方法,第3~14章讲解集成电路后端设计技术。
第1章简要介绍集成电路发展状况和重要性,使读者对集成电路行业有一个初步的了解。
第2章简要介绍集成电路后端设计方法和业界主流的后端设计工具,使读者对后端设计有广度上的认识。
第一部分 后端全定制设计及实战
第3章讲解后端全定制设计之标准单元设计技术的理论知识。标准单元库是集成电路设计的基础,它的质量和性能对集成电路设计至关重要。具备自行设计标准单元库的后端设计能力能够大大提升集成电路的设计性能并对特殊需求的设计进行灵活优化。
第4章讲解后端全定制设计之标准单元电路设计技术。在CMOS工艺下,一个给定的逻辑功能可以通过多种电路结构来实现。该章将介绍几种比较常见的数字电路实现结构,使读者学习标准单元电路设计的设计方法。
第5章讲解后端全定制设计之标准单元电路设计实战。该章将讲解基于AMD公司的全定制时序单元HLFF的电路设计过程,使读者学习标准单元电路在实际工程应用中的基本设计技术。
第6章讲解后端全定制设计之标准单元版图设计技术。在完成单元电路的设计之后,就进入版图设计阶段。不管对于数字集成电路设计还是模拟混合集成电路设计,版图设计都是必不可少的重要设计环节。
第7章讲解后端全定制设计之标准单元版图设计实战。该章将介绍基于AMD公司的全定制时序单元HLFF的版图设计过程,该HLFF单元的版图设计环境基于TSMC130的制造工艺要求,使读者学习标准单元版图在实际工程应用中的基本设计技术。
第8章讲解后端全定制设计之标准单元特征化技术。对一个复杂芯片中的每一个模块,不论是简单的标准单元(如NAND、NOR等),还是复杂的定制设计模块(如RAM或处理器核等)都需要一个时序模型。
第9章讲解后端全定制设计之标准单元特征化实战。在设计完成标准单元的原理图和版图后,时序分析工具需要读取该单元的时序信息,比如综合工具需要知道单元的逻辑功能、单元实际的输入负载电容、在不同输入斜率和输出负载情况下单元的延时和功耗、单元的面积等,单元时序信息特征化就是用模拟仿真器来提取标准单元以上信息的过程。通过时序信息特征化提供单元的时序数据给多种时序分析工具使用。
第二部分 后端半定制设计及实战
第10章讲解后端半定制设计之物理实现技术。当ASIC设计完成前端逻辑综合并生成了门级网表后,接下来的任务就是门级网表的物理实现,即把门级网表转换成版图(Layout),这个过程通常称为半定制后端设计。在半定制设计流程中,数字后端是指自动布局布线(Auto Place and Route,APR)物理实现。
第11章讲解后端半定制设计之OpenSparcT1-FPU布局布线实战。该章基于OpenSparcT1里浮点处理器单元(Floating-point Processor Unit,FPU)的物理实现来讲解布局布线设计过程,使读者学习半定制设计中布局布线在实际工程应用中的基本设计技术。
第12章讲解后端半定制设计之OpenSparcT1-FPU电压降分析实战。该章基于OpenSparcT1里浮点计算单元部件(Floating-point processor unit,FPU)的物理实现结果讲解电压降分析过程,使读者学习半定制设计中电压降分析在实际工程中的应用。
第三部分 静态时序分析及实战
第13章讲解静态时序分析技术。随着芯片尺寸的减小和集成度密集化的增强、电路设计复杂度的增加、电路性能要求的提高,它们都对芯片内的时序分析提出了更高的要求。静态时序分析是大规模集成电路设计中非常重要的一个环节,它能验证设计在时序上的正确性,并决定设计是否能够在要求的工作频率下运行。
第14章讲解静态时序分析实战。该章基于OpenSparcT1里浮点计算单元部件(Floating-point processor unit,FPU)的物理实现来讲解静态时序分析过程,使读者学习静态时序分析在实际工程中的应用。
最后,非常感谢我的导师张民选教授和项目指导老师李少青研究员传授我集成电路设计知识,同时感谢icdream论坛模拟部件设计板块版主吴占托先生为本书实战素材的运行环境提供的大力支持。在本书的编写过程中,得到了机械工业出版社华章分社的大力支持,在此,对他们表示诚挚的感谢。
集成电路设计领域的研究发展迅速,对许多问题作者并未作深入研究,一些有价值的新内容也来不及收入本书,加上作者知识水平和实践经验有限,书中难免存在不足之处,敬请读者批评指正。

目  录

前言
第1章 引论
1.1 集成电路发展史简介
1.2 国内集成电路发展现状
1.3 国际集成电路发展趋势

第2章 集成电路后端设计方法
2.1 集成电路后端设计
2.2 后端全定制设计方法
2.2.1 后端全定制设计流程介绍
2.2.2 主流后端全定制设计工具介绍
2.2.3 后端全定制设计小结
2.3 后端半定制设计方法
2.3.1 后端半定制设计流程介绍
2.3.2 主流后端半定制设计工具介绍
2.3.3 后端半定制设计小结

第一部分 后端全定制设计及实战
第3章 后端全定制设计之标准单元设计技术
3.1 设计标准单元库的重要性
3.2 标准单元设计技术
3.2.1 标准单元的基本介绍
3.2.2 标准单元的基本类型
3.2.3 标准单元库提供的数据
3.2.4 标准单元设计参数
3.3 标准单元设计流程
3.3.1 方案设计
3.3.2 标准单元电路及版图设计
3.3.3 标准单元库版图和时序信息的提取
3.3.4 库模型与库文档生成
3.3.5 设计工具流程验证
3.3.6 测试电路设计及工艺流片验证
3.4 标准单元设计需要的数据
3.5 标准单元设计EDA工具

第4章 后端全定制设计之标准单元电路设计技术
4.1 CMOS工艺数字电路实现结构
4.1.1 静态电路实现结构
4.1.2 伪NMOS电路实现结构
4.1.3 传输管与传输门电路
4.1.4 动态电路实现结构
4.1.5 高扇入逻辑电路的实现结构
4.2 CMOS数字电路优化
4.3 标准单元库中几种时序单元介绍
4.3.1 C2MOS触发器
4.3.2 真单相触发器
4.3.3 脉冲触发器
4.3.4 数据流触发器
第5章 后端全定制设计之标准单元电路设计实战
5.1 电路设计流程
5.2 时序单元HLFF的电路设计
5.2.1 建立库及电路设计环境
5.2.2 Vituoso Schematic Composer使用基础
5.2.3 时序单元HLFF电路实现
5.2.4 时序单元HLFF电路元件的产生
5.2.5 时序单元HLFF电路网表输出
5.3 时序单元HLFF的电路仿真
5.3.1 设置带激励输入的仿真电路图
5.3.2 使用Virtuoso Spectre Circuit Simulator进行电路仿真
第6章 后端全定制设计之标准单元版图设计技术
6.1 基本CMOS工艺流程
6.2 基本版图层
6.2.1 NMOS/PMOS晶体管的版图实现
6.2.2 串联晶体管的版图实现
6.2.3 并联晶体管的版图实现
6.2.4 CMOS反相器的版图实现
6.2.5 缓冲器的版图实现
6.2.6 CMOS二输入与非门和或非版图实现
6.3 版图设计规则
6.4 版图设计中晶体管布局方法
6.4.1 基本欧拉路径法
6.4.2 欧拉路径法在动态电路中的应用
6.4.3 晶体管尺寸对版图的影响
6.5 标准单元版图设计的基本指导
6.5.1 优化设计标准单元
6.5.2 标准单元PIN脚的设计
第7章 后端全定制设计之标准单元版图设计实战
7.1 版图设计流程
7.2 时序单元HLFF版图实现
7.2.1 建立项目库及版图设计环境
7.2.2 Vituoso Layout Editor使用基础
7.2.3 时序单元HLFF版图实现
7.2.4 时序单元HLFF版图GDS输出
7.3 版图设计规则检查
7.3.1 执行版图设计规则检查
7.3.2 基于版图设计规则结果的调试
7.4 版图与电路等价性检查
7.4.1 执行版图与电路等价性检查
7.4.2 基于版图与电路等价性检查结果的调试
7.5 版图寄生参数提取
第8章 后端全定制设计之标准单元特征化技术
8.1 标准单元时序模型介绍
8.1.1 基本的时序模型归纳
8.1.2 时序信息建模方法
8.1.3 时序信息文件基本内容
8.2 标准单元物理格式LEF介绍
8.2.1 LEF文件中重要参数详细说明
8.2.2 LEF文件全局设置
8.2.3 LEF文件中工艺库物理信息设置
8.2.4 LEF文件中单元库物理信息设置
8.2.5 LEF对应的图形视图
第9章 后端全定制设计之标准单元特征化实战
9.1 时序信息提取实现
9.1.1 时序信息特征化的实现流程
9.1.2 时序信息特征化的数据准备
9.1.3 标准单元HLFF的时序信息特征化
9.1.4 SiliconSmart工具流程介绍
9.2 物理信息抽象化实现
9.2.1 物理信息抽象化实现流程
9.2.2 建立物理信息抽象化工作环境
9.2.3 标准单元HLFF的物理信息抽象化
9.2.4 版图抽象化后LEF数据输出
第二部分 后端半定制设计及实战
第10章 后端半定制设计之物理实现技术
10.1 半定制物理实现工程师应该具备的能力
10.2 半定制物理实现流程
10.3 半定制物理实现使用的EDA工具
10.4 半定制物理实现需要的数据
10.5 布局规划
10.6 电源规划
10.6.1 电压降与电迁移
10.6.2 电源规划前的功耗预估方法
10.6.3 电源条带的基本设置方法
10.6.4 电源环的基本设置方法
10.6.5 电源网络分析的基本方法
10.7 时钟树的实现
10.7.1 常见时钟网络的实现方法
10.7.2 时钟树的综合策略
10.7.3 时钟树的基本性能参数
10.7.4 时钟树的综合流程
10.7.5 门控时钟
10.7.6 时钟树优化基本指导
10.8 布线
10.8.1 天线效应
10.8.2 串扰噪声
10.8.3 数模混合信号线走线的基本方法
10.9 ECO
第11章 后端半定制设计之Open-SparcT1-FPU布局布线实战
11.1 布局布线的基本流程
11.2 布局布线工作界面介绍
11.3 建立布局布线工作环境
11.4 布局布线实现
11.4.1 芯片布局
11.4.2 电源网络实现
11.4.3 自动放置标准单元
11.4.4 时钟树综合
11.4.5 布线
11.4.6 芯片版图完整性实现
11.4.7 布局布线数据输出
第12章 后端半定制设计之Open-SparcT1-FPU电压降分析实战
12.1 电压降分析的基本流程
12.2 建立电压降分析的工作环境
12.3 电压降分析实现
12.3.1 设置电源网格库
12.3.2 功耗计算
12.3.3 电压降分析
第三部分 静态时序分析及实战
第13章 静态时序分析技术
13.1 静态时序分析介绍
13.1.1 静态时序分析背景
13.1.2 静态时序分析优缺点
13.2 静态时序分析基本知识
13.2.1 CMOS逻辑门单元时序参数
13.2.2 时序模型
13.2.3 互连线模型
13.2.4 时序单元相关约束
13.2.5 时序路径
13.2.6 时钟特性
13.2.7 时序弧
13.2.8 PVT环境
13.3 串扰噪声
13.3.1 串扰噪声恶化原因
13.3.2 串扰噪声的体现形式
13.3.3 串扰噪声相互作用形式
13.3.4 时间窗口
13.4 时序约束
13.4.1 时钟约束
13.4.2 I/O延时约束
13.4.3 I/O环境建模约束
13.4.4 时序例外
13.4.5 恒定状态约束
13.4.6 屏蔽时序弧
13.4.7 时序设计规则约束
13.5 静态时序分析基本方法
13.5.1 时序图
13.5.2 时序分析策略
13.5.3 时序路径延时的计算方法
13.5.4 时序路径的分析方法
13.5.5 时序路径分析模式
第14章 静态时序分析实战
14.1 静态时序分析基本流程
14.2 建立静态时序分析工作环境
14.3 静态时序分析实现
14.3.1 建立时间分析
14.3.2 保持时间分析
14.3.3 时序设计规则分析
14.3.4 时序违反修复

《CMOS集成电路后端设计与实战》——导读相关推荐

  1. 《应用时间序列分析:R软件陪同》——1.5 习题

    本节书摘来自华章计算机<应用时间序列分析:R软件陪同>一书中的第1章,第1.5节,作者:吴喜之,刘苗著, 更多章节内容可以访问云栖社区"华章计算机"公众号查看. 1.5 ...

  2. 《应用时间序列分析:R软件陪同》——2.3 随机游走

    本节书摘来自华章计算机<应用时间序列分析:R软件陪同>一书中的第2章,第2.3节,作者:吴喜之,刘苗著, 更多章节内容可以访问云栖社区"华章计算机"公众号查看.

  3. 《应用时间序列分析:R软件陪同》——导读

    ** 前言 ** 首先,一些教材偏重于数学理论和推导.作者多为数学出身,他们习惯于数学的严格性和导出精确而又漂亮的数学结论.这些书适用于那些愿意为时间序列的数学理论研究做出贡献的读者. 其次,国内教材 ...

  4. arima模型_时间序列分析(R)‖ARIMA模型预测实例

    背景 十九大报告,对教育方面做出了详细说明.近年来,随着研究生招生规模的逐渐扩大,报名参加硕士研究生考试的人数也逐年增加.大多数关于研究生的文章是以研究生的现状.研究生的教育.研究生的就业等方面为主题 ...

  5. R语言入门(1)时间序列分析

    时间序列分析 使用软件为Rstudio,参考CRAN中时间序列分析分析函数和package,拿手上的数据练习一下时间序列分析. 1.原始数据说明 选择连续9天的数据,共2025条,时间间隔为5分钟.具 ...

  6. r软件时间序列分析论文_高度比较的时间序列分析-一篇论文评论

    r软件时间序列分析论文 数据科学 , 机器学习 (Data Science, Machine Learning) In machine learning with time series, using ...

  7. 时间序列分析及应用r语言pdf_R语言:时间序列经典分析法(二)

    题记:本文是个人的读书笔记,仅用于学习交流使用.本文将深入研究时间序列技术. 01 解决什么问题? 前面一章,介绍了时间序列中涉及到的基本概念,本章将在此基础上介绍如何对时间序列的资料进行分析,怎么选 ...

  8. 使用R语言对股票数据进行时间序列分析

    tushareID:469251 R语言相对于python在做统计分析是十分方便的软件,时间序列分析在数理统计理论方面很有支撑,解释性也很强,理论已经很成熟,不了解的小伙伴可以去搜下相关课程. 这里记 ...

  9. R语言mgcv包时间序列分析在空气污染与健康领域的应用(3)---模型自由度选择

    广 义 相 加 模 型 ( generalized additional model,GAM)是对传统广义线性模型的非参数拓展,可有效处理解释变量与效应变量间复杂的非线性关系.GAM 目前已广泛应用于 ...

  10. R语言mgcv包时间序列分析在空气污染与健康领域的应用(1)

    在空气污染与健康研究领域,经常需要用时间序列方法将随时间变化的污染物暴露资料和随时间变化的事件发生数资料联系起来,分析人群健康结局与暴露水平之间的关系. 时间序列分析是根据系统观测得到的时间序列数据, ...

最新文章

  1. 配置cisco路由器特定时间重启
  2. 函数式编程语言python-写 Python 代码不可不知的函数式编程技术
  3. Spark详解(十):SparkShuffle机制原理分析
  4. MSP430F5529 DriverLib 库函数学习笔记(一)时钟配置和闪烁LED
  5. HDU 5510 Bazinga 暴力匹配加剪枝
  6. 澳洲留学转专业计算机科学,澳洲留学热门专业计算机优势
  7. Linux基本管理篇
  8. excel字符串和单元格拼接_Excel单元格内计算式及字符串拼接实现
  9. extjs的Ext.grid.EditorGridPanel中ComboBox显示值的问题
  10. Python:处理车辆控制单元MDF(CANApe数据)记录文件思路
  11. Excel自定义函数
  12. Spring实战(第5版)核心知识点总结
  13. Java实现九宫格游戏
  14. PHP根据年月获取月初月末时间
  15. LeetCode 319 灯泡开关[数学] HERODING的LeetCode之路
  16. 集成redis,删除key报“srem“异常
  17. 网络学习之网络通信设备辨析
  18. USACO 3.4 Raucous Rockers (rockers)
  19. SANGFOR V批N_v7.0如何开启硬件特征码认证
  20. NoSuchMethodError 错误——包冲突解决办法

热门文章

  1. Java源码详解之NameValuePair
  2. Flowable(二):数据库详情
  3. linux系统安装搜狗输入法
  4. css —— 按钮水波纹扩散动画效果实现
  5. 【异常】Session 0x0 for server null, unexpected error, closing socket connection and attempting reconn
  6. 算算新税率比老费率少扣多少钱 --- 2018年10月1日 新的税收费率改革
  7. 一篇就懂的CMMI认证三级评估准备及其注意事项
  8. if-elif-e方法lse的基本使用
  9. 肺活量测试软件原理,测测你的肺活量 肺活量测试装置小发明小实验
  10. 占位符前面的数字的作用