转载自https://wu-kan.cn/_posts/2018-07-04-计数器的设计/

数字电子技术实验报告

实验题目:计数器的设计

预习报告

内容一

使用JK触发器设计一个16进制异步减法计数器,并用逻辑分析仪观察并记录CP和每一位的输出波形。

JK触发器功能/真值表

CP时钟 J K 工作状态 Q + Q^+ Q+
↓ \downarrow ↓ 0 0 保持 Q
↓ \downarrow ↓ 0 1 置零 0
↓ \downarrow ↓ 1 0 置一 1
↓ \downarrow ↓ 1 1 翻转 Q ‾ \overline Q Q​

用Proteus设计电路,并运行仿真

如图, A 0 … A 3 A_0\ldots A_3 A0​…A3​分别对应模拟的 Q 0 … Q 3 Q_0\ldots Q_3 Q0​…Q3​端口。
可以看出,在一个周期内 Q 3 Q 2 Q 1 Q 0 Q_3Q_2Q_1Q_0 Q3​Q2​Q1​Q0​的变化顺序依次为 0000 → 1111 → 1110 → 1101 → 1100 → 1011 → 1010 → 1001 → 1000 → 0111 → 0110 → 0101 → 0100 → 0011 → 0010 → 0001 → 0000 → … 0000\to1111\to1110\to1101\to1100\to1011\to1010\to1001\to1000\to0111\to0110\to0101\to0100\to0011\to0010\to0001\to0000\to\ldots 0000→1111→1110→1101→1100→1011→1010→1001→1000→0111→0110→0101→0100→0011→0010→0001→0000→…符合设计预期。

内容二

使用JK触发器设计一个16进制同步加法计数器,并用逻辑分析仪观察并记录CP和每一位的输出波形。

用Proteus设计电路,并运行仿真

如图, A 0 … A 3 A_0\ldots A_3 A0​…A3​分别对应模拟的 Q 0 … Q 3 Q_0\ldots Q_3 Q0​…Q3​端口。
可以看出,在一个周期内 Q 3 Q 2 Q 1 Q 0 Q_3Q_2Q_1Q_0 Q3​Q2​Q1​Q0​的变化顺序依次为 0000 → 0001 → 0010 → 0011 → 0100 → 0101 → 0110 → 0111 → 1000 → 1001 → 1010 → 1011 → 1100 → 1101 → 1110 → 1111 → 0000 … 0000\to0001\to0010\to0011\to0100\to0101\to0110\to0111\to1000\to1001\to1010\to1011\to1100\to1101\to1110\to1111\to0000\ldots 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→1101→1110→1111→0000…符合设计预期。

内容三

使用JK触发器和门电路设计实现一个二进制四位计数器模仿74LS194功能。要求在实验箱上设计实现左移或右移功能;在proteus软件上实现置零,保持,左移,右移,并行送数功能。

74LS194功能/真值表

CP时钟 C r ‾ \overline{Cr} Cr清零 S 1 {S_1} S1​ S 0 {S_0} S0​ 工作状态 Q A + Q_A^+ QA+​ Q B + Q_B^+ QB+​ Q C + Q_C^+ QC+​ Q D + Q_D^+ QD+​
↑ \uparrow ↑ 0 X X 置零 0 0 0 0
↑ \uparrow ↑ 1 0 0 保持 Q A Q_A QA​ Q B Q_B QB​ Q C Q_C QC​ Q D Q_D QD​
↑ \uparrow ↑ 1 0 1 右移 D S R D_{SR} DSR​ Q A Q_A QA​ Q B Q_B QB​ Q C Q_C QC​
↑ \uparrow ↑ 1 1 0 左移 Q B Q_B QB​ Q C Q_C QC​ Q D Q_D QD​ D S L D_{SL} DSL​
↑ \uparrow ↑ 1 1 1 并行送数 D 0 D_0 D0​ D 1 D_1 D1​ D 2 D_2 D2​ D 3 D_3 D3​

用Proteus设计电路,并运行仿真

内容四

用JK触发器和门电路设计一个特殊的12进制同步计数器如下: 0001 → 0010 → 0011 → 0100 → 0101 → 0110 → 0111 → 1000 → 1001 → 1010 → 1011 → 1100 → 0001 → … 0001\to0010\to0011\to0100\to0101\to0110\to0111\to1000\to1001\to1010\to1011\to1100\to0001\to\ldots 0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→0001→…并用逻辑分析仪观察并记录CP和每一位的输出波形。
注意:这个12进制同步计数器没有00状态,要考虑自启动。

次态卡诺图

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 xxxx 0010 0100 0011
01 0101 0110 1000 0111
11 0001 xxxx xxxx xxxx
10 1001 1010 1100 1011

卡诺图化简得到每个触发器方程

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 0 0 0
01 0 0 1 0
11 0 x x x
10 1 1 1 1

Q 3 + = Q 3 Q 2 ‾ + Q 3 ‾ Q 2 Q 1 Q 0 Q_3^+=Q_3\overline{Q_2}+\overline{Q_3}Q_2Q_1Q_0 Q3+​=Q3​Q2​​+Q3​​Q2​Q1​Q0​

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 0 1 0
01 1 1 0 1
11 0 x x x
10 0 0 1 0

Q 2 + = Q 3 ‾ Q 2 Q 1 ‾ + Q 2 ‾ Q 1 Q 0 + Q 3 ‾ Q 2 Q 0 ‾ Q_2^+=\overline{Q_3}Q_2\overline{Q_1}+\overline{Q_2}Q_1Q_0+\overline{Q_3}Q_2\overline{Q_0} Q2+​=Q3​​Q2​Q1​​+Q2​​Q1​Q0​+Q3​​Q2​Q0​​

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 1 0 1
01 0 1 0 1
11 0 x x x
10 0 1 0 1

Q 1 + = Q 1 Q 0 ‾ + Q 1 ‾ Q 0 Q_1^+=Q_1\overline{Q_0}+\overline{Q_1}Q_0 Q1+​=Q1​Q0​​+Q1​​Q0​

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 0 0 1
01 1 0 0 1
11 1 x x x
10 1 0 0 1

Q 0 + = Q 0 ‾ Q_0^+=\overline{Q_0} Q0+​=Q0​​

驱动器方程

J 3 = Q 2 Q 1 Q 0 , K 3 = Q 2 J_3=Q_2Q_1Q_0,K_3=Q_2 J3​=Q2​Q1​Q0​,K3​=Q2​
J 2 = Q 1 Q 0 , K 2 = Q 3 ‾ ( Q 1 ‾ + Q 0 ‾ ) ‾ = Q 3 + Q 1 Q 0 J_2=Q_1Q_0,K_2=\overline{\overline{Q_3}(\overline{Q_1}+\overline{Q_0})}=Q_3+Q_1Q_0 J2​=Q1​Q0​,K2​=Q3​​(Q1​​+Q0​​)​=Q3​+Q1​Q0​
J 1 = K 1 = Q 0 J_1=K_1=Q_0 J1​=K1​=Q0​
J 0 = K 0 = 1 J_0=K_0=1 J0​=K0​=1

用Proteus设计电路,并运行仿真


在图中 A 0 … A 3 A_0\ldots A_3 A0​…A3​对应 Q 0 … Q 3 Q_0\ldots Q_3 Q0​…Q3​。在波形的一个周期里,其变化符合设计预期。

内容五

使用Protues和Vivado实现一个有控制变量D的12进制计数器(12进制计数器状态转换图如内容四),并在7段数码管上显示计数结果。
由于D=0时(加)的驱动方程已在内容四得出,下面仅涉及D=1(减)的状态。

次态卡诺图

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 xxxx 1100 0010 0001
01 0011 0100 0110 0101
11 1011 xxxx xxxx xxxx
10 0111 1000 1010 1001

卡诺图化简得到每个触发器方程

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 1 0 0
01 0 0 0 0
11 1 x x x
10 0 1 1 1

Q 3 + = Q 3 Q 2 + Q 3 Q 1 + Q 3 Q 0 + Q 3 ‾ Q 2 ‾ Q 1 ‾ Q_3^+=Q_3Q_2+Q_3Q_1+Q_3Q_0+\overline{Q_3}\,\overline{Q_2}\,\overline{Q_1} Q3+​=Q3​Q2​+Q3​Q1​+Q3​Q0​+Q3​​Q2​​Q1​​

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 1 0 0
01 0 1 1 1
11 0 x x x
10 1 0 0 0

Q 2 + = Q 3 ‾ Q 2 ‾ Q 1 ‾ + Q 2 ‾ Q 1 ‾ Q 0 ‾ + Q 2 Q 1 + Q 2 Q 0 Q_2^+=\overline{Q_3}\,\overline{Q_2}\,\overline{Q_1}+\overline{Q_2}\,\overline{Q_1}\,\overline{Q_0}+Q_2Q_1+Q_2Q_0 Q2+​=Q3​​Q2​​Q1​​+Q2​​Q1​​Q0​​+Q2​Q1​+Q2​Q0​

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 0 1 0
01 1 0 1 0
11 1 x x x
10 1 0 1 0

Q 1 + = Q 1 Q 0 + Q 1 ‾ Q 0 ‾ Q_1^+=Q_1Q_0+\overline{Q_1}\,\overline{Q_0} Q1+​=Q1​Q0​+Q1​​Q0​​

Q 3 + Q 2 + ∖ Q 1 + Q 0 + Q_3^+Q_2^+\setminus Q_1^+Q_0^+ Q3+​Q2+​∖Q1+​Q0+​ 00 01 11 10
00 x 0 0 1
01 1 0 0 1
11 1 x x x
10 1 0 0 1

Q 0 + = Q 0 ‾ Q_0^+=\overline{Q_0} Q0+​=Q0​​

驱动方程

J 3 = Q 2 ‾ Q 1 ‾ , K 3 = Q 2 + Q 1 + Q 0 ‾ = Q 2 ‾ Q 1 ‾ Q 0 ‾ J_3=\overline{Q_2}\,\overline{Q_1},K_3=\overline{Q_2+Q_1+Q_0}=\overline{Q_2}\,\overline{Q_1}\,\overline{Q_0} J3​=Q2​​Q1​​,K3​=Q2​+Q1​+Q0​​=Q2​​Q1​​Q0​​
J 2 = Q 1 ‾ Q 3 ‾ + Q 1 ‾ Q 0 ‾ , K 2 = Q 1 + Q 0 ‾ = Q 1 ‾ Q 0 ‾ J_2=\overline{Q_1}\,\overline{Q_3}+\overline{Q_1}\,\overline{Q_0},K_2=\overline{Q_1+Q_0}=\overline{Q_1}\,\overline{Q_0} J2​=Q1​​Q3​​+Q1​​Q0​​,K2​=Q1​+Q0​​=Q1​​Q0​​
J 1 = K 1 = Q 0 ‾ J_1=K_1=\overline{Q_0} J1​=K1​=Q0​​
J 0 = K 0 = 1 J_0=K_0=1 J0​=K0​=1

用Proteus设计电路,并运行仿真

用Vivado设计电路,并烧写到Basys3实验板

端口映射

烧写到Basys3实验板


实验报告

内容四

在实验箱上完成十二进制计数器,并在逻辑分析仪上得到其波形。

实验仪器及器件

数字电路实验箱、示波器;器件:74LS002,74LS082,74LS734、74LS1971

代码转换电路设计

具体设计和仿真已在预习报告中完成。

转换电路的效果检验

波形分析


连续脉冲频率为2kHz,可以看出一个周期内 D 0 … D 3 D_0\ldots D_3 D0​…D3​恰构成二进制的1~12,符合预期。

实验心得和体会

  1. 通过本次实验,我了解了 portuse 仿真软件上开关的使用。
  2. 通过本次实验,我熟悉了时序逻辑电路的分析步骤和方法。
  3. 通过本次实验,我更熟悉了示波器的使用。
  4. 通过本次实验,我提高了对错误电路的调试能力。
  5. 通过本次实验,我提高了电路的推导和检查能力。

数电实验九:计数器的设计相关推荐

  1. [数电实验]外星萤火虫设计

    [数电实验]外星萤火虫设计 文章目录 [数电实验]外星萤火虫设计 题目描述 电路要求 题目分析 解决方案 代码 仿真 题目描述 在遥远的 Mars星上有一种类似地球萤火虫的生物 星上有一种类似地球萤火 ...

  2. 湖南大学_数电实验_模型机设计_CPU设计_verilog_课程实验报告

    本学期的数电课程实验就是模型机设计,由四次小实验构成,最后一次实验验收要求使用quartus做出一个模型机. 该实验的重要性:该实验的核心在于基于实验指导书设计CPU,从而帮我们理解CPU的工作原理. ...

  3. 数电实验:数字时钟设计 (经验分享,仅供参考)

    实 验 目 的 一.独立完成一个数字小系统的设计 二.基于实验箱对设计进行验证 实验内容: 能够显示时.分.秒共6位数字: 考虑使用实验箱时钟(频率包括1M.500K. 250K.100K.10K.1 ...

  4. 数电实验九 译码显示电路(3)多数表决器的实现

    仅作笔记作用 实现三人表决器.使用3 个逻辑电平开关作为 3 个人的投票器(高电平对应投同意票,低电平对应投反对票),用16*16 点阵和 LED 灯作和1号位数码管为投票结果显示.按照票数过半原则判 ...

  5. 【数电实验7】Verilog—外星萤火虫

    [2022.05西南交大数电实验] [本代码及波形已通过老师验收.仅供参考.] [参考博客:[数电实验]外星萤火虫设计_难凉oh的博客-CSDN博客] [建议:有些口语化的注释看完删掉比较好哈,怕老师 ...

  6. 数电实验4:彩灯控制器设计

    数电实验4:彩灯控制器设计 一.实验目的 二.实验内容 三.预习要求 四.实验报告要求 五.Verilog代码.RTL视图及仿真波形 1.Verilog代码 2.RTL视图 3.仿真波形 西南交大数电 ...

  7. 数电实验6:可控分频器设计

    数电实验6:可控分频器设计 一.实验目的 二.基本实验内容 三.提高性实验内容(选做) 四.预习实验 五.实验报告要求 六.内容讲解(基础实验内容) 七.testbench及仿真结果 1.testbe ...

  8. 数电实验(一)利用与非门设计四舍五入判别电路

    数电实验(一)利用与非门设计四舍五入判别电路 要求: 1.输入为8421BCD码,接四个逻辑电平开关,同时接数码管. 2.输出和LED相连. 一.写出逻辑函数: F(A,B,C,D)=∑m(5,6,7 ...

  9. 数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路

    数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路 要求: 设计一个表决电路, 当控制端M=0时,输入端A.B.C一致同意时,输出F为1,否则输出为0:当控制端M=1时,输入端A ...

最新文章

  1. 极客技术专题【009期】:web技术开发小技巧
  2. Java 并发编程阅读笔记
  3. 3.3.1网络原理数据链路层之差错控制(检错编码和纠错编码)->(奇偶校验码、CRC循环冗余码、海明码)(转载)
  4. SSH远程执行命令环境变量问题
  5. Oracle Concepts Guide 中 Oracle 实例 和 数据库 【关系图】
  6. java编译软件 Eclipse 的安装与使用
  7. 动物老了没生存能力时,它的子女会养育照顾它吗?
  8. 等价类划分法测试用例设计举例
  9. Skew Heaps
  10. dns解析失败如何处理?
  11. 美国大学计算机系学什么,2017美国大学计算机专业排名
  12. 高通6350:adb抓取Camera RAW/YUV数据
  13. flea-frame-cache使用之Redis接入【旧】
  14. 2021上海建筑施工八大员之安全员模拟题集及答案解析
  15. vpc经典网络区别_网络运维与管理 经典网络还是VPC如何选择
  16. [Linux]-堡垒机/跳板机作用、登陆异常处理
  17. RooT最好软件,root手机最好的软件
  18. npm安装包报错,清除一下缓存
  19. BAT 大厂Java 面试题集锦之核心篇附参考答案
  20. 当代大学生应该如何学习计算机科学

热门文章

  1. Java开发3年应该掌握的小知识(下)
  2. matlab复函数画图
  3. 智能领域死伤无数,这家公司为什么能被谷歌亚马逊看重?
  4. IBM服务器配置RAID5+热备教程
  5. GPS卫星定位车载终端原理全面介绍
  6. b'\\u4f60\\u597d'编码问题解决
  7. cadence SPB17.4 - allegro - CAM350_V10.7CN 引入槽孔(.rou)文件报错问题的优雅解决思路
  8. gitlab添加成员开通项目访问权限
  9. NOIP 2012初赛普及组C/C++答案详解
  10. Henry前端笔记之 UI组件库中table与slot相关理解