很多没有系统学习FPGA开发的小伙伴可能在初学时不太在意时序约束,简单的项目工程可能不会有很大的影响,代码无误配脚正确基本上能成功运行。涉及到有多个时钟时如果不注意很容易弄混淆,加之没有进行时序约束,难以对错误位置进行定位。

由于博主也是野路子入门,有些地方理解可能有误,仅将自己的心得体会分享,希望能对初学者有所帮助。如有错误欢迎大佬指正。

下面进入正题

方法1:使用时钟约束向导

在我们综合完成后,如果没有进行时序约束会看到如下警告

打开 TimeQuest timing analyzer,单击Clocks可以看到时钟默认1000mhz,这显然是违例的。

选择要约束的时钟信号(我这里只有一个全局时钟),右键选择编辑时钟约束Edit Clock...

在此界面可以设置时钟的周期、上升沿的偏移、高电平维持时间等参数(可以自由选择约束信号,也可以仿照下面的sdc约束语句自己新建编辑.sdc约束文件),设置完成后点击Run

这时会提示约束过时(需要重新生成约束),右击左边空白区域,选择Regenerate生成新的约束

关闭此界面,会弹出是否保存该约束,选择yes,然后弹出保存文件名字,这里默认选择ok就行了。

然后我们重新综合,可以看到时钟变成我们所约束的频率(下方的红色警告是因为1我还没有约束管脚,与时钟无关)

方法2:直接编辑sdc文件

我们新建或者打开.sdc文件,新建方法如下

这里我用刚刚生成的sdc文件为例

和时钟相关的只有三组共计六条代码。第一条是约束单位纳秒精度小数点后三位,第二条是约束时钟名字、周期、高电平(逻辑1)维持时间段,第三到六条是约束时钟的不确定性(更趋近于真实情况,仿真会用到)。

我们只要掌握了约束语句编写规则,仿照着写,也可以对时钟以及引脚进行约束,这一点无论是quartus还是vivado都是相通的(vivado的约束文件后缀为.xdc)。

QuartusⅡ开发alteraFPGA如何约束时钟(关于消除警告Timing requirements not met)相关推荐

  1. fpga如何约束走线_如何正确的约束时钟—Vivado优化到关键路径

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  2. 张高兴的 Windows 10 IoT 开发笔记:RTC 时钟模块 DS3231

    原文:张高兴的 Windows 10 IoT 开发笔记:RTC 时钟模块 DS3231 GitHub:https://github.com/ZhangGaoxing/windows-iot-demo/ ...

  3. 视频教程-微信小程序开发实战之番茄时钟开发-微信开发

    微信小程序开发实战之番茄时钟开发 4年web前后端开发经验,熟悉PHP,Python后端技术,熟悉基于Lnmp环境的项目开发和部署,擅长Yii,ThinkPHP,CI,Django,Flask等国内外 ...

  4. 嵌入式linux开发,对pcf8563时钟操作报错:rtc-pcf8563 0-0051: low voltage detected, date/time is not reliable.

    一.问题描述. 嵌入式linux开发,对pcf8563时钟操作报错如下: [root@ms ~]# hwclock -s rtc-pcf8563 0-0051: low voltage detecte ...

  5. ARM裸机开发:主频与时钟

    文章目录 ARM裸机开发:主频与时钟 一.时钟系统 1.1 外部时钟电路 1.2 7路PLL时钟源 1.3 时钟树概览 二.时钟配置 2.1 内核时钟设置 2.2 PFD时钟设置 2.3 AHB.IP ...

  6. 嵌入式linux驱动之路07:裸机开发之蜂鸣器,时钟,中断

    蜂鸣器简介 有源蜂鸣器只要通电就会叫,所以我们可以做一个供电电路,这个供电电路可以由一个 IO来控制其通断,一般使用三极管来搭建这个电路.为什么我们不能像控制 LED 灯一样,直接将GPIO 接到蜂鸣 ...

  7. VS 提示:请考虑使用 app.config 将程序集“XXX”从版本“XX”重新映射到版本“XX”,以解决冲突并消除警告。...

    具体提示如下: 请考虑使用 app.config 将程序集"System.Web.Http.WebHost, Culture=neutral, PublicKeyToken=31bf3856 ...

  8. Python消除警告的实用解决方案

      大家好,我是herosunly.985院校硕士毕业,现担任算法研究员一职,热衷于机器学习算法研究与应用.曾获得阿里云天池比赛第一名,科大讯飞比赛第三名,CCF比赛第四名.拥有多项发明专利.对机器学 ...

  9. 消除警告(升级后block警告)

    升级xCode版本后 block 出现大量的警告,通过方法一和方法二可以消除大量的block相关的警告,同时下面也有一些消除第三方相关的警告. 方法一 Block implicitly retains ...

最新文章

  1. java swing 架构_Java Swing1 基本框架
  2. 宝塔mysql优化_宝塔面板下实现MySQL性能优化处理
  3. 【转】Oracle Outline使用方法及注意事项
  4. 数组名与数组指针的关系
  5. 【研发管理】为什么你的高效交付,却没有好的业绩
  6. 例4:写一个把字符串的所有大写字母改为小写的程序。设字符串以0结尾。
  7. python自定义事件event的含义_python使用多线程与自定义event更新tinter的内容
  8. 【CodeForces - 689B】Mike and Shortcuts(Dijkstra最短路,或者bfs跑状态类似spfa)
  9. 转!!ftp的主动模式(port)与被动模式(PASV)
  10. Python 2.5.7 高阶函数
  11. iOS开发之UIControlEventEditingChanged失效
  12. 孤荷凌寒自学python第八十天开始写Python的第一个爬虫10
  13. 【POJ 2482】【扫描线问题】Stars in Your Window【包星星问题】
  14. 关于RJ45插座(HR911130C)硬件连接
  15. KindEditor 基本的使用
  16. 吐槽一下:武装GoldenDict时,好一个OALD,RAR格式,12万多的文件,晕!!
  17. 阿里云服务器的80端口被封了么?
  18. fsadfsaddfsadfsafsda
  19. 时间复杂度和空间复杂度OvO
  20. 年度回顾篇:2018年的亚马逊,众生虽苦,诸恶莫作

热门文章

  1. 使用JS打印网页内容及图片
  2. 教师备课计算机教师管理制度,计算机学院教学过程管理中教师职责与问责暂行规定--中地大计字[2016]03号...
  3. python几岁开始学比较好_少儿编程到底几岁开始学合适?
  4. Webbrowser指定IE内核版本(更改注册表)
  5. 有效提升网站关键词排名的优化技巧
  6. win10进入bios步骤
  7. 华硕电脑改光驱启动计算机,华硕笔记本win7系统如何设置光驱为第一启动项
  8. 网络基础知识汇总学习
  9. vscode 编写html 浏览器显示 空白 白屏
  10. Kettle构建Hadoop ETL实践(八-2):维度表技术