经常收到读者的邮件咨询。如何才能学习好数字通信的FPGA设计技术?您的三本图书[数字滤波器MATLAB与FPGA实现(简称滤波器)、数字通信同步技术的MATLAB与FPGA实现(简称同步技术)、数字调制解调技术的MATLAB与FPGA实现(简称调制解调)],该先学哪一本呢?

数字通信技术的FPGA设计知识专业性比较强,学好她确实需要花费很大的功夫才行。

 准备

了解数字信号处理技术,推荐的著作有:西电高西全老师编著的《数字信号处理》、清华程佩青老师编著的《数字信号处理教程》,这些都是高校的经典教材,基本理论已讲得很清楚了。

了解MATLAB设计技术。这类著作很多。这个语言使用很方便,自学是完全可以的。记得读研时刚进实验室,导师说MATLAB顶多花2周学习就可以用了,当时我相当惊讶,以为老师把学生都当天才了。后来学习后,发现这个语言果然比较容易学习,不然也不会号称是技术界无人不知无人不晓简单易学居家必备的仿真设计工具了。

了解FPGA设计技术,这类入门书市场上有很多。大致分为三类:一是只讲Verilog HDL或VHDL语言的,一是只讲ISE/Vivado/Quartus开发环境的,一讲FPGA简单实例设计的。目前FPGA主要有两个平台:XILINX和ALTERA,两种语言:VERILOG/VHDL。两个平台及语言本身不重要,重要的是FPGA设计的硬件编程思想。所以,可以根据周围同事、同学的使用平台选择,便于学习交流。精通一种平台后,熟悉另一种平台只是分分钟的事。前提是,精通!由于这类书实在太多了,语言及平台结合得很好的书比较少,所以可根据周围的学习环境选择。

准备开发板。尽量准备一块开发板,可以直接体验编程实现功能的全过程。

如果学通信技术的FPGA实现,建议选购我们的CXD301(XILINX平台)或CRD500(ALTERA平台),只需配合示波器即完成我编著的数字通信系列图书的实验验证,了解信号处理的全过程波形。

开始

学《Xilinx FPGA数字信号处理——基础版》一书。这本书是FPGA进行数字信号处理的入门级书籍。书中对信号处理的理化进行了简化处理,主要采用简单的实例讲解数字信号固理认在FPGA中的实现过程。(2021.12.17日补录)

学《滤波器》一书。大家之所以感觉完成FPGA数字通信设计比较难,很重要的原因是学校里的各种知识是分开讲的。如数字信号处理课程、FPGA课程、MATLAB课程、电路分析课程等。而现实世界中,只有将这些知识综合起来,比如用FPGA和MATLAB完成数字信号处理设计,才能完成实际的系统设计。一方面课程分开来学,同时讲授时难以整合各门课程的知识,将其串起来讲,因此考试都通过了,课程学习也结束了,结果,工程设计是不会的。

回想起自己学习FPGA完成通信设计相关知识,并完成工程设计时,深感起初阶段痛苦经历,才有了这一系列图书的出版。

因此,前面的准备阶段,只需要有 了解  的程度即可。

有了一般性的概念了解,老老实实按照《滤波器》书中的实例去分析,完成MATLAB理论仿真,再完成FPGA设计过程,再分析验证处理波形,再用示波器查看波形。经过实战,再回过头去理解一些不清楚的概念。再实战,再理解,再实战,再理解。书中已将一些概念用工程的语言进行了描述,相信可以化解很多学习过程中的 拦路虎。

初学《滤波器》,主要理解FIR滤波器、IIR滤波器、FFT分析等内容,LMS算法、多速率算法是另一个层次的设计了,这些概念一般在研究生阶段会了解,但有了前面的基础,自学也是可以的。当然要花费时间和精力才行。

准备

通信中的同步是核心。通信问题主要解决收发同步,以及抗干扰两个核心问题。通信理论,同步理论当然要有一定的理解才行。其中最难的是载波同步。推荐的教材是西电张厥盛老师编著的《锁相环技术》。这本书理论性强,有点难。不难怎么能称为专业呢,所以要老老实实再去学习。头大?头大也要学习,否则在设计中遇到的技术问题会更让你头晕。

继续

可以学《通信同步》这本书了。这本书主要讲位同步、帧同步、载波同步的问题。位同步、帧同步都比较难?这是因为你没有设计过载波同步!同步技术这本书已经讲得很清楚了。还是看不懂,理解不了?参数不晓得设计?不急,不急。急也没用,要做好长期准备。老老实实先按书中实例仿真练习,先把实例仿真测试通过了,才有可能修改用到你的工程中。还是用不会?孔子说:吾无隐乎尔!我已经讲完了通信设计的全套流程、方法、理论、步骤、仿真、测试环节了啊。但实际工程需求实在千变万化,老板和客户的要求又总是没有止境,所以工程设计是一件很有挑战的工作。也正因为难度大,挑战性强,才有意思。如果你对设计仍然没有把握,没关系,我们可以提供设计服务哈,当然收费一般比较高的,呵呵。

 再准备

要完成通信的调制解调算法FPGA设计,当然要理解通信原理了。不需要推荐教材了,常规通信原理几十年都没变过。现在的LTE、5G通信不是很流行吗?知识是逐渐深入的,基本的通信原理先要弄清楚才能考虑更前沿的技术嘛。基础的通信原理,很多高校教材都可以用。没有学懂?谁让你只为了考试了才去学习呢。没学懂,当然只有重新学习。当你能够对《滤波器》的实例有一定的了解,通过示波器在开发板上理解信号的处理过程及波形变化之后,通过示波器在开发板上验证同步技术,理解前期的原理之后,相信你一定有信心继续学习的。

就要练成了

学习《调制解调》。有滤波器、通信同步的实战知识,了解通信原理,可以学习FPGA的调制解凋技术了。书中完整阐述了ASK\FSK\PSK\QAM等调制解调技术的全部处理过程。不是理论,是实际电路。不是黑盒子,是开源代码。只要有信心,花时间,相信能够完成这些知识的积累的。

  学无止境

当完全或部分理解这个系列图书的例程及学习方法后,相信你对自己的实力已经有一定的信心了。但是…………,后面的路还很长,一切才刚刚开始。工程上会遇见很多千奇百怪的问题,都需要你一个个去解决。虽然可能很痛苦,但解决了呢?解决之后就很痛快了……

 还有问题?

还有问题?还是很难?好吧,我们再降低点难度,降低点门槛。但不急,请耐心一点。杜老师正在编写更为入门的著作:Xilinx FPGA数字信号处理设计(基础版)、Xilinx FPGA数字信号处理设计(综合版)、Altera FPGA数字信号处理设计(基础版)、Altera FPGA数字信号处理设计(综合版)。会不会另外用一个平台?这几本书中,Xilinx的书一定采用CXD301,Altera的书一定采用CRD500。

问什么时候出FPGA入门的书?入门的书市场上很多啊!好吧,再将FPGA/Verilog设计入门的图书列入计划。不过这需要时间啊,杜老师也要休息的。生活除了写书,还有跑步喝茶看书嘛!

欢迎关注我的微信公众号“杜勇FPGA”了解图书最新信息,下载免费学习资料。

邮箱:duyongcn@sina.cn

博客:https://blog.csdn.net/qq_37145225

如何学习数字通信FPGA设计相关推荐

  1. 数字IC/FPGA设计之——学习路径

    对于在校生或刚学习数字IC/FPGA设计的小伙伴来说,通常迷惑于一个问题:这个方向需要掌握哪些基础知识,学习路径是什么样的? 面对网上各种公众号,知乎分享,应该怎么学习呢? SiliconThink( ...

  2. 《FPGA设计实战演练》学习笔记(一)FPGA板级基础五要素电路介绍及注意事项(最小系统)

    目录 基础五要素(类似最小系统板) 电源电路 核心电压 I/O电压 辅助电压 常见的供电方案 GND的注意事项 时钟电路 复位电路 配置电路 外设电路 学习书籍:FPGA设计实战演练 逻辑篇吴厚航 编 ...

  3. FPGA设计/数字IC前端设计学习交流群

    FPGA设计/数字IC前端设计学习交流群 我们的目标 做啥的 怎么玩 我们的目标 Help you make good designs. 做啥的 本群以技术讨论为主,偶尔娱乐:要谈谈日常工作,生活也行 ...

  4. 跟sky学数字IC/FPGA设计学习培训课程:全集已出

    经过2年的努力,数字IC设计前端/FPGA设计培训课程已经录制完毕.并在腾讯课堂收到很多支持和点赞. 授课理念: verilog只是语言,表达的是"思想"(硬件俗称Architec ...

  5. FPGA设计心得(4)Aurora IP core 的定制详情记录

    文章目录 写在前面 IP核定制页面预览 IP核定制详解 lane width Line Rate GT REFCLK (MHz) INIT clk (MHz) DRP clk (MHz) Datafl ...

  6. FPGA之道(42)FPGA设计的分类

    文章目录 前言 设计的分类 按功能分 按面向分 按速度和规模分 按速度分 按规模分 按速度.规模分 前言 本文摘自<FPGA之道>,一看来学习下作者的看法. 设计的分类 抛开应用背景.科研 ...

  7. FPGA之道(18)FPGA设计的编译过程

    文章目录 前言 FPGA设计的实现过程 编译概述 编译流程之综合 综合的输入 HDL代码 综合设置 综合的输出 综合的工具 编译流程之翻译融合 翻译融合的输入 翻译融合的输出 翻译融合工具 编译流程之 ...

  8. FPGA之道(17)FPGA设计的时序分析

    文章目录 前言 FPGA设计的时序分析 一.时序分析的概念和必要性. 二.时序分析的分类 三.时序分析工具介绍. 四.时序约束与时序分析的关系. 五.时序分析的好处与隐患. 六.时序分析环节的输出. ...

  9. 做好FPGA设计的五项基本功 (Z)

    成为一名说得过去的FPGA设计者,需要练好5项基本功:仿真.综合.时序分析.调试.验证. 需要强调的一点是,以上基本功是针对FPGA设计者来说的,不是针对IC设计者的.对于IC设计,我不懂,所以不敢妄 ...

  10. 5个步骤带你入门FPGA设计流程

    导读:最近几年,FPGA越来越火.微软甚至曾表示要数据中心里,使用FPGA"代替"CPU.FPGA英文全称是Field Programmable Gate Array,即现场可编程 ...

最新文章

  1. 别把个人信息“玩”丢了
  2. 数字签名时间戳服务器的原理 !
  3. .NET 中安全高效跨平台的模板引擎 Fluid 使用文档
  4. python车辆识别硬件_Opencv python之车辆识别项目
  5. linux下printf语句执行时间,linux下printf中\n刷新缓冲区的疑问(已解决)
  6. 关于一个选举的票数统计程序,每一位候选人的记录内容均为字符0或1,1表示此人被选中,0表示此人未被选中,若一张选票选中人数大于5个人时被认为无效的选票。
  7. ntpd时钟同步服务
  8. 涂抹oracle教你,《涂抹Oracle-三思笔记之一步一步学Oracle》出炉手记
  9. Mysql-索引优化
  10. 纠删码:定义及常见类型
  11. windows环境中java jdk环境配置
  12. 九存支持 2018全球存储半导体大会暨全球闪存技术峰会
  13. 解决can't resolve the symbol 'R'方法(转载)
  14. PDF和图片怎样合并在一起
  15. 什么是IDaaS?IDaaS有什么应用意义?
  16. 学习Docker之Docker初体验 简单用例---SpringBoot集成Docker的部署、发布与应用
  17. CF 371D Vessels 【并查集】
  18. java long 随机 正数_java中如何产生随机正负数
  19. 关于zheng项目的学习步骤{ 转载 }
  20. 宏信证券手机网上开户流程

热门文章

  1. 屡不悔改,这60款APP被强制下架!
  2. centerOs底下安装mysql
  3. 人体下肢表面肌电,足底压力和关节角度分析
  4. 点餐系统mysql设计,SpringBoot 微信点餐系统 1:数据库表设计
  5. html5 电子白板 直播,基于HTML5技术的智能终端电子白板软件的设计与实现
  6. Python之You-Get库学习
  7. win10音频服务器未修复,win10十月更新音频输出设备未安装错误修复教程
  8. Java 视频网盘分享
  9. meta标签http-equiv属性实现自动刷新页面和重定向
  10. JS怎么唤醒高德导航