在学习fpga的第一个电路。

module adder
(
input    rst_n,
input    clk,output [3:0] ad_o
output [6:0]ad_o1,
output [6:0]ad_o2,
output [6:0]ad_o3,
);
reg [6:0] adder;
reg [6:0] adder1;
reg [6:0] adder2;always@(posedge clk)
begin
if(~rst_n)adder<=0;
else if(adder==7'd100)adder<=0;
elseadder<=adder+1'b1;
endalways@(posedge clk or negedge rst_n)
begin
if(~rst_n)adder1<=0;
else if(adder==7'd100)adder1<=0;
elseadder1<=adder1+1'b1;
endalways@(*)
begin
if(~rst_n)adder2=0;else if(adder2==7'd100)adder2=0;elseadder2=adder1+1'b1;
endwire [6:0]adder3;
assign adder3=adder1+1'b1;//outputassign  ad_o  = adder;
assign  ad_o1 = adder1;
assign  ad_o2 = adder2;
assign  ad_o3 = adder3;endmodule
激励程序
`timescale 1ns/1ps
module adder_tp();reg clk;
reg rst_n;
initial
begin rst_n=0;#100 rst_n=1;#2003 rst_n=0;#4003 rst_n=1;#2000 $stop ;
endinitial
beginclk=0;
endparameter  PER=5;
always #PER clk<=~clk;adder  adder_inst
(.rst_n (rst_n),.clk(clk),.ad_o().ad_o1(),.ad_o2(),.ad_o3(),);endmodule

adder:时序电路,受敏感列表中的时钟控制,在时钟上升沿开始,是非阻塞赋值**(<=)
adder1:时序电路,受敏感列表中的时钟和复位控制,在时钟的上升沿或者复位的下降沿时开始,是非阻塞赋值
(<=)
adder2:组合电路,它受任和进来的信号控制。这种写法容易写成锁存器。列如:
always@(*)
begin
if()
else if()
end
*这里没有else,它的一些信号进来,有一些符合if与else if的条件,但有一些不符合,就会停在always块,出不去。*阻塞赋值(=)
adder3:典型的组合电路。wire线性定义,它表示线性关系。直接赋值,且不会延迟一拍。阻塞赋值(
=**)
激励程序:有部分固定写法,在给时钟与复位定义。然后将顶层模块实列化。

FPGA的基本电路-计数器相关推荐

  1. 双DSP6657+FPGA K7架构电路方案

    双DSP6657+FPGA K7架构电路方案 双DSP型号:TMS320C6657,FPGA型号:XC7K325T-2FFG900,ADC型号:AD9467,DAC型号:AD9779等电路原理图+PC ...

  2. EDA实验(Quartus Ⅱ+fpga) (二)---时序电路的设计-模可变计数器设计

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码.使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6. (一)实验目的 (1)进一步熟悉E ...

  3. FPGA三分频电路的实现

    最近刚开始学习FPGA,准备使用verlog实现一些分频电路,偶数倍分频比较好操作,奇数倍分频相对来说要复杂一点点,我在网上查阅了一些资料,我来用我自己的话总结下. 1.最简单最好理解的实现(不推荐) ...

  4. 计算机电路计数器pl什么意思,计数器原理—不懂就要看哦~~

    导读:在数字系统中使用最多的时序电路是计数器.计数器不仅能用于对时钟脉冲进行计数还可以用于分频.定时,产生节拍脉冲和脉冲序列以及进行数字运算等.下面就让小编为大家介绍一下计数器原理.本文引用地址:ht ...

  5. 计算机电路计数器pl什么意思,计数器的原理为什么1下来是2.而且频率是一样的.它是怎么进位的.它的电路原理是什么...

    :加减控制端.当其为低电平时计数器进行加计数:当其为高电平时计数器进行减计数. CP:时钟脉冲输入端.上升沿有效. A,B,C,D:数据输入端.用于预置计数器的初始状态. LD:异步预置控制端.低电平 ...

  6. 计算机电路计数器pl什么意思,图解 | 你管这破玩意叫计算机?

    原标题:图解 | 你管这破玩意叫计算机? 我和小宇早恋了,我们家住隔壁. 一.编码与电路--信号的转换 晚上父母会把手机没收,但我们还想继续聊天,又不敢发出声音,于是我们想到了这个办法... 我们把所 ...

  7. 学习日记——FPGA实验平台板级电路详解

    一.板级电路整体架构 我接下来一段时间学习的就是"勇敢的芯"FPGA 实验平台,它是特权同学和至芯科技携手打造的一款基于Altera Cyclone IV FPGA 器件的入门级 ...

  8. arm,asic,dsp,fpga,mcu,soc各自的特点

    arm,asic,dsp,fpga,mcu,soc各自的特点 人工智能受到越来越多的关注,许多公司正在积极开发能实现移动端人工智能的硬件,尤其是能够结合未来的物联网应用,对于移动端人工智能硬件的实现方 ...

  9. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  10. 2019北邮计算机考研大纲,2019年北京邮电大学802电子电路考研大纲

    802 电子电路 模拟部分 一.考试要求 要求学生系统地掌握模拟电子技术的基本概念.基本电路的工作原理和基本分析方法,并能灵活应用于实际,具有较强的分析问题与解决问题的能力. 二.考试内容 1.了解P ...

最新文章

  1. 2021年大数据Flink(十一):流批一体API Source
  2. AWS — AWS 上的 5G 网络
  3. 【错误记录】Google Play 上架报错 ( 我们检测到您的应用程序包含未经认证的广告SDK或未经批准用于儿童导向服务的SDK )
  4. Leetcode 40组合总数(回溯)Ⅱ41缺失的第一个正数42接雨水
  5. 领域模型命名规约【PO,VO,POJO,BO,DTO,DO,JavaBean】
  6. 计算机专业小三门要求,最新小三门选科要求有啥变化?附对比表
  7. FFMpeg语法参数中文参考手册
  8. Java 中的悲观锁、乐观锁、自旋锁、适应性自旋锁、偏向锁、轻量级锁、重量级锁、公平锁、非公平锁、可重入锁、共享锁等
  9. python3.0实例_python3.0 模拟用户登录,三次错误锁定的实例
  10. android自定义pickerview,开源项目 好用的PickerView库了
  11. lamp技术_LAMP技术简介:
  12. Docker学习——DockerFile
  13. cuda编程环境搭建
  14. Linux基础面试篇
  15. 【腾讯课堂】产品分析报告
  16. ai怎样导出所选部分,AI 怎么导出部分文件
  17. 《柏拉图与技术呆子》:探讨人类与技术的创造性伙伴关系-2
  18. 大数累加(高精度/C++)
  19. 华为 OSPF邻居建立的过程
  20. 预装绿坝,Linux何以为生?

热门文章

  1. java是牌子的眼镜多少钱一副_世界上最贵的眼镜:LOTOS眼镜,一副眼镜要500万元...
  2. python 线程锁_Python线程锁的实现
  3. [蓝桥杯]第39级台阶(Python实现)
  4. php 处理eml,php读取eml实例、php解析eml、eml解析成网页
  5. 第五届蓝桥杯B组java—猜字母
  6. ios学习之模仿韩寒one.一个UI
  7. 管道的故事(一)管道的故事
  8. 标准差(Standard Deviation) 和 标准误差(Standard Error)
  9. Android屏幕亮度调节
  10. 基于Matlab的数字水印设计实现