Verilog——74LVC161计数器

  • 设计思路
  • 代码实现
    • 设计模块
    • 测试模块
  • 仿真结果

设计思路

根据功能表进行行为级建模,如下:

代码实现

设计模块

//filename:_74LVC161.v
module _74LVC161(
input CR,PE,CEP,CET,CP,
input [3:0] D,
output reg [3:0] Q,
output reg TC);
/*
参数说明:
输入:CR位置零输入端,PE为预置,CEP,CET为控制信号,CP为时钟信号,D为预置信号输出:Q为输出端TC进位信号
*/
always@(posedge CP,negedge CR)
beginif(~CR)    begin Q<=4'b0000;TC=0; end  //异步清零信号的优先级最高else if(~PE)    Q<=D;                  //预置信号else casex({CEP,CET})     //使能信号2'b0x: Q<=Q;         //保持2'bx0:begin Q<=Q; TC=0; end      //保持2'b11:begin Q=Q+1'b1; TC=(Q==4'b1111); end    //计数endcase
end
endmodule

测试模块

filename:tb_74LVC161.v
`timescale 1ns/1ns
module tb_74LVC161();
reg CR,PE,CEP,CET,CP;
reg [3:0] D;
wire [3:0] Q;
wire TC;
/*
参数说明:
输入:CR位置零输入端,PE为预置,CEP,CET为控制信号,CP为时钟信号,D为预置信号输出:Q为输出端TC进位信号
*/
always
_74LVC161 U(CR,PE,CEP,CET,CP,D,Q,TC);initial$monitor($time,"\tCR=%b,PE=%b,CEP=%b,CET=%b,CP=%b,D=%b,Q=%b,TC=%b",CR,PE,CEP,CET,CP,D,Q,TC);
//时钟信号
initialCP=1;
always #5 CP=~CP;
//元件例化
initial
begin//清零CR=0;PE=1;D=4'b1100;CET=0;CEP=0;#5;//置数CR=1;PE=0;D=4'b1100;CET=0;CEP=0;#10;//计数CR=1;PE=1;D=4'b0000;CET=1;CEP=1;#60;//禁止计数CR=1;PE=1;D=4'b0000;CET=1;CEP=0;#20;$stop;
end
endmodule

仿真结果


Verilog——74LVC161计数器相关推荐

  1. (44)Verilog HDL 计数器设计

    (44)Verilog HDL 计数器设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL 计数器设计 5)结语 1.2 FPGA简介 FPGA( ...

  2. (38)FPGA面试题Verilog设计计数器

    1.1 FPGA面试题Verilog设计计数器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题Verilog设计计数器: 5)结束语. 1.1.2 本节引 ...

  3. Verilog 语言 ——计数器

    计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量.计数和控制的功能, 同时兼有分频功能.        计数器在数字系统中应用广泛 ...

  4. 【FPGA教程案例7】基于verilog的计数器设计与实现

    FPGA教程目录 MATLAB教程目录 -------------------------------------------------------------------------------- ...

  5. 【verilog】计数器

    理论学习 计数器实现的是计数,计数是一种最简单基本的运算.计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量.计数.控制的功能,同时兼有分频功能. 计数器是FPGA设计中最常用的一种时序逻辑,根 ...

  6. 日历android实训报告,EAD万年历实训报告及Verilog HDL源码

    <EDA技术及应用>实训报告1  系统设 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历. 1.1.2 性能指标要求 ⑴用Verilog HDL语言设计一个数字日历. ⑵数 ...

  7. Verilog ACC 子程序

    功能特性 ACC 子程序主要完成的功能有: 从内部数据结构中读取特定对象的相关信息 把特定对象的相关信息写入内部数据结构中 ACC 子程序可操作的对象类型有: 模块实例.模块端口.模块的端到端的路径以 ...

  8. IC基础——FIFO

    文章目录 FIFO简介 使用场景 类别 同步FIFO 异步FIFO 参数 FIFO宽度 FIFO深度 满指标 空指标 读时钟 写时钟 实现 同步FIFO实现 空 满 1.计数器法 计数器法verilo ...

  9. 转行人必看:数字IC前端设计学习路线与方法(内附学习视频)

    众所周知,数字前端设计对于工程师的能力要求比较高,不仅有学历上的要求,还要求掌握很多的知识技能.不少跨专业想要转行的小伙伴对数字前端设计这个岗位不是很了解,下面IC修真院就带大家全面了解一下数字IC前 ...

最新文章

  1. asp.net中将Excel文件(.xls)绑定到DataGrid
  2. phpstorm 2017.1.3编辑远程项目
  3. 圆的半径java_css中的圆形边界半径工件
  4. 【Java】基于注解开发初探
  5. linux内核亲和性,Linux中CPU亲和性(go)
  6. Dephi7程序设计与开发技术大全(求是科技)
  7. Codeforces 712C. Memory and De-Evolution
  8. java 前置通知_spring aop中的前置通知
  9. Ivanti罗琦:IT服务管理中“拧紧螺丝”要有门道儿!
  10. shell例题3-百度面试题
  11. 详解Android定位,AndroidGPS定位详解(1)
  12. PDF打开不能打印什么原因呢
  13. Qt项目--截屏软件
  14. 如何用excel做正交分析_利用Excel进行正交设计及分析
  15. 提示非标准语法;请使用 ““ 来创建指向成员的指针
  16. android win7共享文件夹,手把手教你win7系统怎么共享文件夹
  17. python穷举法列举_穷举法
  18. 贵州支教之第二天(11月8日)
  19. echarts散点图使用(转:http://www.suchso.com/UIweb/echarts-sandiantu.html)
  20. 电脑录屏怎么录视频?了解几个小技巧

热门文章

  1. Java学习Day_11
  2. 坚果云WebDav示例
  3. 湖北民院OJ 计算球体体积
  4. 04 : mysql 基础命令操作,字符集
  5. powermockito测试私有方法_03 增强测试: 静态、私有方法处理
  6. 使用谷歌Colab(Colaboratory)免费GPU训练自己的模型及谷歌网盘无限容量(Google drive)申请教程
  7. 《Adobe After Effects CC 2017 经典教程》资源下载地址
  8. 第2课计算机的发展教学反思,信息技术课教学反思2
  9. 开心一刻:今天下班后,我尾随一女同事,为她充当护花使者
  10. c# emgucv 切图_自己积累的一些Emgu CV代码(主要有图片格式转换,图片裁剪,图片翻转,图片旋转和图片平移等功能)...