目录

数字后仿步骤:

什么是SDF文件?

如何反标SDF文件?

(1)作为elaboration的选项

(2)使用系统函数$sdf_annotate


数字后仿步骤:

1、用时序计算工具生成时序信息存储在SDF文件中

2、将SDF文件反标到设计中:在tb_top文件中加入语句 initial $sdf_annotate.

什么是SDF文件?

SDF(Standard Delay Format)文件是把布局布线过程中器件延时和线延时的信息保留下来,据此就可以在路径时序分析时将整条路径的时序计算出来,再判断时序约束条件就能知道是否满足时序要求。SDF文件包含设计中所有单元的时序信息,它为仿真门级网表提供时序信息。

SDF文件包括设计中每个单元的时序信息,基本的时序数据由以下几部分组成:

(1)IOPATH延迟

(2)INTERCONNECT延迟

(3)SETUP时序检查

(4)HOLD时序检查

如何反标SDF文件?

反标SDF文件有两种方法,一种作为elaboration的选项指定,另一种是在bench中调用系统函数$sdf_annotate来完成。

(1)作为elaboration的选项

-sdfmin|typ|max:instance_name:file.sdf

如vcs-sdf min:top.i_test.:test.sdf

(2)使用系统函数$sdf_annotate

$sdf_annotate (“sdf_file”[, “module_instance”] [,“sdf_configfile”][,“sdf_logfile”][,“mtm_spec”] [,“scale_factors”][,“scale_type”]);

其中:

“sdf_file”:指定SDF文件的路径

“module_instance”:指定反标设计的范围(scope)

“sdf_configfile”:指定SDF配置文件,一般缺省

“sdf_logfile”:指定VCS保存error 和warnings消息的SDF日志文件。也可以使用+sdfverbose runtime option来打印所有反标消息

“mtm_spec”:指定延迟类型"MINIMUM(min)", "TYPICAL(typ)“或者"MAXIMUM(max)”,“TOOL_CONTROL(default)”。

“scale_factors":分别指定min:typ:max的缩放因子,默认是"1.0:1.0:1.0"

“scale_type”:指定缩放之前延迟值得来源,“FROM_TYPICAL”,“FROM_MIMINUM”, “FROM_MAXIMUM"和"FROM_MTM” (default).

这里除了sdf_file必须给出外,其他参数可以使用默认值,如:

$sdf_annotate(“test.sdf”);

要说明下参数scale_type,sdf文件中时序信息都会由3个数字组成,分别对应是minimum,typical,maximum三种情形下的延迟(当然,有时候会只有minimum和maximum的情况,那么typical的延迟没有就省略)

scale_type的可取值为:

  • FROM_MINIMUX
    选择SDF文件中mininum timing

  • FROM_TYPICAL
    选择SDF文件中typical timing

  • FROM_MAXIMUM
    选择SDF文件中maximum timing

  • FROM_MTM
    系统默认

反标完成后,可以从log中看到这样一句话:

Annotating SDF file "***.sdf" ... Done

数字后仿,SDF文件相关推荐

  1. 面试问题-理解数字后仿,其次针对性理解数字后仿中的sdf文件(约束文件)的作用

    理解数字后仿,其次针对性理解数字后仿中的sdf文件(约束文件)的作用 1)什么是sdf文件 2)如何反标sdf文件? 1,什么是数字后仿? 2,什么是门级网表? 3,什么是sdf文件 4,如何反标sd ...

  2. perl脚本提取后仿中的notimingcheck路径

    文章目录 前言 一.perl脚本提取后仿中的notimingcheck路径 总结 前言 在我们进行IC数字后仿过程中,需要先加上-nonotifier选项,让仿真遇到违例后,先不产生叉态,保证仿真正常 ...

  3. 拆分大sdf文件并删除分子属性数据

    1. OpenBabel拆分sdf文件 使用openbabel来拆分大的sdf文件为单独的sdf文件,可下载安装openbabel babel test_opt.sdf --split -aT -os ...

  4. VCS使用SDF文件进行后仿反标

    概述 从概念上来说,数字验证包含两方面的内容,一个是验证功能,另一个是验证时序.对应的仿真模型(不论是model,standard cell等)也不外乎这两个部分,功能部分由逻辑,udp元件或gate ...

  5. 后仿真如何反标SDF文件

    从概念上来说,数字验证包含两方面的内容,一个是验证功能,另一个是验证时序.对应的仿真模型(不论是model,standard cell等)也不外乎这两个部分,功能部分由逻辑,udp元件或gate构成, ...

  6. 一文讲透芯片后仿中的SDF

    SDF文件是在vcs/nc-verilog后仿真运行时将STD/IO/Macro门级verilog中specify的延迟信息替换为QRC/Star-RC抽取的实际物理延迟信息,如果SDF文件的条件信息 ...

  7. android 录音的格式转换,Android仿微信录音功能(录音后的raw文件转mp3文件)

    现在很多时候需要用到录音,然后如果我们的App是ios和android两端的话,就要考虑录音的文件在两端都能使用,这个时候就需要适配,两端的录音文件都要是mp3文件,这样才能保证两边都能播放. 针对这 ...

  8. vs2010中的ipch文件夹和sdf文件,及禁用后没有资源视图的解决方法

    vs2010中的ipch文件夹和sdf文件 vs2010打开某个工程 ,转换成功之后,在工程目录看见一个sdf文件和一个ipch文件夹,都超大(起码几十M),我一个四百多k 的工程,结果用vs打开过后 ...

  9. IC后仿与sdf反标

    一.基本SDF信息 SDF(Standard Delay Format)标准延迟格式,用来描述时序信息和约束,为网表仿真提供时序信息.SDF中的时序信息主要由以下组成:iopath delay.int ...

最新文章

  1. GLFW--Getting started
  2. s:property 获取 ValueStack中的值
  3. 积神经网络的参数优化方法——调整网络结构是关键!!!你只需不停增加层,直到测试误差不再减少....
  4. linux之heartbeat高可用的简单配置
  5. php oracle按时间查询,Oracle日期查询:季度、月份、星期等时间信息
  6. (原创)我也玩万能表单(自定义表单)(一)
  7. 矩池云上关于conda的一些使用技巧
  8. recycleview 嵌套高度问题_解决RecyclerView嵌套RecyclerView位移问题
  9. 查看opencv版本
  10. 富士通服务器irmc账号密码,PRIMERGY TX1330 M2 E3-1200 V5单路 Fujitsu富士通立式服务器...
  11. python中的nmap模块(编写扫描器)
  12. html3d建模,数百个 HTML5 例子学习 HT 图形组件 – 3D 建模篇
  13. 蓝桥杯单片机学习记录——LED灯闪烁
  14. dell服务器T420装系统,ThinkPad T420笔记本一键u盘装系统win7教程
  15. 综述:物联网的联邦学习
  16. Linux快速入门之 静态库和动态库 (07)
  17. 查询员工的薪水涨幅情况
  18. 折线多边形的原位放大
  19. tensorflw视频
  20. 科学的清理 Windows 98 注册表(转)

热门文章

  1. js自定义双击事件(可更改双击触发间隔,解决单击双击事件冲突)
  2. 硬件工程师-BOOST升压电源设计
  3. 2010年3月23日
  4. 马斯洛人类需求五层次理论(Maslow‘s Hierarchy of Needs)
  5. 《谈美》读书思维导图
  6. piwik mysql_piwik安装部署最佳实践
  7. piwik php安装,Piwik 的安装使用以及 PHP+nginx 环境搭建
  8. android 清理手机开启的所有程序,安卓内存机制详解 别清理释放RAM损害你的手机...
  9. 基于PaddlePaddle和PaddleHub的口罩检测系统的落地实现
  10. 今日早报 每日精选15条新闻简报 每天一分钟 知晓天下事12月14日