EDA 电子设计自动化VHDL系列课程8 – 脉冲信号发生器

本EDA系列介绍的系统环境是:

软件: VHDL编程语言 ;
工具: Quartus13.0
FPGA 芯片是: Cyclone III : EP3C10E144C8
电路板细节在: 添加链接描述

脉冲信号发生器 VHDL 示例 有两种方法

1) 基于分频器的脉冲信号发生器

先对高频时钟信号clk 进行分频,然后用 timer 保存脉冲宽度。会降低时间精度。但是可以慢速展示。

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;ENTITY pulsegen ISPORT(PUL, clk: IN STD_LOGIC; q: OUT STD_LOGIC     );
END pulsegen;ARCHITECTURE one OF pulsegen IS
CONSTANT FP: INTEGER:= 39999999;
SIGNAL qb: INTEGER RANGE 0 TO FP;
SIGNAL timer: INTEGER RANGE 0 TO 800;
SIGNAL clock: STD_LOGIC;BEGINPROCESS(clk)BEGIN IF clk'EVENT AND clk = '1' THENqb <= qb + 1;IF qb = FP THEN  clock <= NOT clock; qb <= 0; END IF;END IF;END PROCESS;PROCESS(clock)BEGINIF clock'EVENT and clock = '1' THEN IF PUL = '1' THEN timer <= timer + 1;ELSIF timer > 0 THEN q <= '1'; timer <= timer -1;ELSE q <= '0'; END IF;  END IF;END PROCESS;END one;

1) 基于时钟信号clk的脉冲信号发生器

该程序直接用clk 时钟频率计时,精度高些。

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;ENTITY pulsegen ISPORT(PUL, clk: IN STD_LOGIC; q: OUT STD_LOGIC     );
END pulsegen;ARCHITECTURE one OF pulsegen IS
SIGNAL timer: INTEGER RANGE 0 TO 39999999; -- 根据需要调整BEGINPROCESS(clk)BEGINIF clk'EVENT AND clk = '1' THEN IF PUL = '1' THEN timer <= timer + 1;ELSIF timer > 0 THEN q <= '1'; timer <= timer -1;ELSE q <= '0'; END IF;  END IF;END PROCESS;END one;

EDA 电子设计自动化VHDL系列课程8 – 脉冲信号发生器相关推荐

  1. EDA 电子设计自动化VHDL系列课程7 – 分频器和计数器

    EDA 电子设计自动化VHDL系列课程7 – 分频器和计数器 本EDA系列介绍的系统环境是: 软件: VHDL编程语言 : 工具: Quartus13.0 FPGA 芯片是: Cyclone III ...

  2. EDA 电子设计自动化VHDL系列课程15 – 智力抢答电路的设计与实现

    EDA 电子设计自动化VHDL系列课程15 – 智力抢答电路的设计与实现 本EDA系列介绍的系统环境是: 软件: VHDL编程语言 : 工具: Quartus13.0 FPGA 芯片是: Cyclon ...

  3. EDA电子设计自动化01--简介

    EDA电子设计自动化01 1)应用EDA涉及硬件.软件和语言三个方面. 硬件:可编程逻辑器件.Intel公司的FPGA,分为面向中低端应用的Cyclone系列,分为面向高性能计算的Arria系列和高性 ...

  4. EDA(电子设计自动化) 河北大学实验

    EDA(电子设计自动化) 河北大学实验 说明:本文作者为河大新区2016级通信工程学生,河大理工的学弟学妹看到这篇文章就可以放心的抄了 实验一 分频器的设计 要求:掌握时序逻辑电路的设计方法,编写50 ...

  5. 中国电子设计自动化(EDA)软件行业未来发展趋势与投资前景分析报告22022-2028年版

    中国电子设计自动化(EDA)软件行业未来发展趋势与投资前景分析报告22022-2028年版 mmmmmm鸿**mmm晟&mmmmm信**mmmmm合&mmmmm研**mmmmmmm究& ...

  6. 电子设计自动化 (EDA)——新技术需要为 EDA 提供新的解决方案

    电子设计自动化 (EDA) 成本持续增加,而周期时间缩短.这些都为 EDA 设计带来了前所未有的挑战,对现代高性能工作流的需求变得从未如此巨大. 新技术需要为 EDA 提供新的解决方案 最近,对 5 ...

  7. eda多功能数字钟课程设计_《多功能数字钟》EDA实验报告

    <EDA课程设计> 1.摘要 实验报告 多功能数字钟 姓 名: 学 号: 联系方式: 成 绩: 在当代,随着人类社会进入到高度发达的信息化社会.信息技术的发展起着越来越大的作用,它几乎涉及 ...

  8. 《电子工艺训练》课程笔记(二)————基础知识

    一.电路原理图 原理图,即为电路板在原理上的表现, 它主要由一系列具有电气特性的符号构成, 通过导线建立电气连接. 原理图在绘制过程中引入的全部是符号, 没有涉及实物,因此原理图上没有任何尺寸 概念. ...

  9. EDA电子设计技术与应用

    EDA电子设计技术与应用 电子设计自动化(英语:Electronic design automation,缩写:EDA)是指利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功 ...

  10. 计算机专业学电路分析,计算机专业电路系列课程教学改革调查分析

    摘要:文章分析了"桂林电子科技大学计算机相关专业对电路系列课程教学改革情况的调查问卷"结果,包括学生的课程重视程度和对课程内容改革.课程教学效果和学习资源.考核方式等的满意度.分析 ...

最新文章

  1. ob_get_contents();basename;file_get_contents用法
  2. CentOS iso镜像文件做本地源
  3. @valid 不生效_黑帽seo高手-实战细谈301生效周期+影响收录重要因素(探索)
  4. Faster R-CNN论文及源码解读
  5. python系统自学_如何系统地自学python
  6. 学习笔记(14):Python网络编程并发编程-文件传输功能实现
  7. 计算机基础:存储系统知识笔记(二)
  8. android startanimation 回调,ScheduledThreadPoolExecutor执行莫名停止问题Android几个动画回调运行线程...
  9. Windows 10环境下AndroidStudio安装教程(内含如何配置Http Proxy)
  10. 【Python】Matplotlib绘制带颜色标尺的彩色曲面
  11. 论文 计算机动态网页的制作,毕业论文 动态网页制作学习网站的设计与实现
  12. .view-content:overflow:hidden 大错误
  13. 130242014039-(2)-体验敏捷开发
  14. Java二维数组——关灯游戏算法
  15. 利用UCSC Xena做TCGA数据库的生存曲线分析
  16. 码怪之程序员的日常语录
  17. 自己制作 XP With SP3 系统光盘 包括驱动SATA集成AHCI驱动
  18. 微信小程序 表单控件【族谱聚微信小程序表单控件】
  19. React Native布局实践:开发京东客户端首页(四)——首页功能按钮及控件封装
  20. 项目管理模型总结---原型模型、迭代模型

热门文章

  1. Liunx使用apt安装本地deb软件包
  2. 如何将二维数组转化为一维数组
  3. Unity网格编程篇(三) 温度图、热力图
  4. 几个名词解释 TBB VPP KKK
  5. Python办公自动化之Excel报表自动化,看这一篇就够了!
  6. 钟表维修管理系统技术解析(七) 保修记录、条件分页查询
  7. ubuntu开启关闭CPU核心的指定线程、开启关闭CPU超频boost、指定特定CPU线程运行相应任务(taskset)
  8. 1|homework
  9. 尾注后添加正文(word 2007)
  10. Access数据库,以及一些其他的库。