为了保证FPGA输入输出接口的时序,一般会要求将输入管脚首先打一拍再使用,输出接口也要打一拍再输出FPGA。
将信号打一拍的方法是将信号通过一次寄存器,而且必须在IOB里面的寄存器中打一拍。
因为,从FPGA的PAD到IOB里面的寄存器是有专用布线资源的,而到内部其他寄存器没有专用的布线资源。
使用IOB里面的寄存器可以保证每次实现的结果都一样,使用内部其他寄存器就无法保证每次用的都是同一个寄存器且采用同样的布线。
同时,为了使用输入输出延迟功能(Input / Output delay),也必须要求信号使用IOB里面的寄存器。
FPGA IOB结构,如下图:
为了让I/O使用IOB里面的寄存器,需要设定综合与MAP(对应于Xilinx的ISE工具)的相关属性。
默认情况下,综合过程和MAP过程都是根据软件的分析自动判断是否要将I/O 的寄存器放入 IOBs中。
如果需要强制指定,必须将Pack I/O Registe Into IOBs的默认属性修改成需要的值。
对于XST,可以将I/O Pack Registers Into IOB属性由默认的Auto 修改为Yes或No。
对于Snyplify,可以在Verilog代码的模块声明中添加属性:/* synthesis syn_useioff = 1 */
具体应用如下 :
module  module_a(a,b,c) /* synthesis syn_useioff = 1 */ ; 
MAP过程Pack I/O Registe Into IOBs属性可以设置成:Off,For Inputs Only,For Output Only,For Input and Output。
需要特别注意的是,如果只在MAP过程中要求将I/O放入IOBs中,而在综合过程中没有强制要求,最终实现时I/O不一样会Pack Into IOBs。必须保证综合和MAP同时对该属性进行设定。
※ 如果将FF等放入IOB中,那么会导致该寄存器无法移动,使得该寄存器被锁定。
这样可能会出现下面的timing 问题:
那么当出现这样的情况时,可以在UCF中,对相应PAD的寄存器进行IOB = false,将这个寄存器解锁。
实例:

xilinx芯片的 IOB 以及 IOB = false相关推荐

  1. xilinx芯片管脚使用限制_【转载】 Xilinx FPGA配置的一些细节

    0 参考资料 (1) Xilinx: Development System Reference Guide. dev.pdf, v10.1 在Xilinx的doc目录下有. (2) Xilinx: V ...

  2. Xilinx芯片存储介绍

    Xilinx的FPGA芯片中可以作为存储资源的包括LUT.BRAM.URAM: LUT = 64bit BRAM = 36Kb = 18Kb x 2 = 36bit x 512 x 2 URAM = ...

  3. Xilinx芯片太贵,我选择国产替代!聊聊国产FPGA芯片选型

    01  前言 本文来聊聊目前国内FPGA发展到了什么水平?都有哪些做得比较好的公司?FPGA国产替代所需要考虑哪些因素? 部分内容和数据参考自网络和官方网站,有不对或不准确的地方,希望能和各位大佬一起 ...

  4. xilinx芯片cadence原理图库制作

    版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明. 后面添加了一点内容. 最近要画一块xilinx 7k系列的板子,苦于没有找到xc7k325t的 ...

  5. xilinx芯片管脚使用限制_修复焊接BGA芯片过程

    我们能够享受现代电子设备小巧玲珑但又功能强大的优点,得益于芯片的小型封装的优势,其中一个最为优秀的封装形式就是锡球阵列封装(BGA).这种封装形式芯片的管脚是分布于芯片底部的一系列点阵排列的焊盘,通过 ...

  6. xilinx芯片管脚使用限制_FPGA管脚分配时需注意的一些事项(以xilinx xc4vsx55为例)

    FPGA 管脚分配时需注意的一些事项(以 xilinx xc4vsx55 为例) FPGA 管脚分配时需注意的一些事项(以 xilinx xc4vsx55 为例) 平台: XC4VSX55 ISE10 ...

  7. xilinx A7芯片介绍

    1,Power&Ground VCCAUX是为辅助电路供电的引脚,1.8V:VCCAUX_IO_G#是为辅助I/O电路供电的引脚,1.8v或者2.0v(只有HP bank有此引脚):VCCIN ...

  8. 1.4 7系列FPGA IOB

    7系列FPGA IOB 1 7系列FPGA IOB 2 IOB的约束方法 3 IOB约束使用注意事项 1 7系列FPGA IOB CLB可以实现FPGA的功能,但要和外界打交道,就必须有和外 界电平兼 ...

  9. xilinx 时钟问题

    xilinx 时钟问题: ERROR:Place:1398 - A clock IOB / BUFGCTRL clock component pair have been found that are ...

最新文章

  1. 前端和后端开发人员比例_前端开发人员vs后端开发人员–实践中的定义和含义
  2. rocketMq-producer介绍
  3. 创建型模式之FactoryMethod
  4. python web 框架例子_最快的 Python Web 框架入门
  5. Linux系统中CPU使用率查询常用的5个命令
  6. MYSQL AND OR的联用
  7. python在线怎么发音-Python如何实现文本转语音
  8. 数据结构与算法 第二章习题课
  9. 9000多篇投稿,接收率只有15%,今年的AAAI你中了吗?
  10. Python调用腾讯API进行营业执照核验
  11. 项目管理工具maven的使用
  12. ESP系统——ABS、TCS、VDC及VAF功能介绍
  13. 老婆问我什么是同步、异步、阻塞、非阻塞!
  14. 不评价别人的生活,是一个人最基本的修养 // 转
  15. win10鼠标右键问题,导致桌面刷新重启,资源管理器explorer重启,文件夹闪退,应用管理员模式无法运行等等
  16. MC34063A MC34063 贴片SOP-8 变换器控制芯片 电流0.8A 1.2A 1.5A
  17. 林达华博士对数学的见解
  18. 李宏毅作业十二 Transfer Learning(迁移学习)
  19. 智能可穿戴的时尚单品,到底是不是“智商税”?
  20. linux去掉文件夹背景色

热门文章

  1. 记录一次线上Mysql数据库迁移方案制定与实施
  2. 移动APP登录注册(vue+vant)
  3. Endnot引文格式设置随笔
  4. PXE+Kickstart 无人值守安装系统
  5. 获取当前日期的三个月前的日期
  6. java and运算_JAVA中逻辑运算符“|”和“”与“||”和“”的用法
  7. Python练习题10:斐波那契数列计算
  8. 基于Scrapy的交互式漫画爬虫
  9. 【秒杀】一、系统设计要点,从卖病鹅说起
  10. 学校创客教育实施方案