204B实战应用-LMK04821代码详解(二)

一、 SPI协议

通过阅读LMK04821数据手册,我们可以从中知道,可以通过SPI协议对LMK04821进行寄存器的配置工作,进而实现我们设计所需要的功能。

SPI协议部分,咱们可以用3线,或者4线,在本次设计中,使用3线。关于SPI的时序部分,这儿就不再赘述,手册里面都有详细的描述。

图1

二、 SPI寄存器配置模块设计

图2

如图2所示,就是配置LMK04821存器的单元,信号定义如下:

1、cfg_clk:系统时钟;

2、cfg_rst:系统复位;

3、通过VIO控制的信号,这组信号存在的目的在于方便检测自己配置寄存器的正确性。

vio_cfg_en:配置寄存器使能信号;

vio_cfg_wr:配置寄存器读写使能,0写1读;

vio_cfg_addr:配置的寄存器地址;

vio_cfg_wdata:寄存器中配置的值;

addr_118_data:预留信号,模块中没有用;

我们在配置LMK04821寄存器时,要验证配置寄存器操作是否正确,就要有写有读,在对应的寄存器内写入对应的数值,然后进行读操作,观察正确性。本次设计是在vivado环境下进行设计,通过添加VIO的IP核,来控制读写操作。同时,添加ILA配合VIO来进行读写数据操作的观测。别的开发环境下思路一样。

该组信号仅在回读寄存器时使用,目的是为了验证寄存器读写正确性。

图3

4、lmk_rst:LMK04821复位信号,用于复位LMK04821,直接和LMK04821芯片相连;

5、3线制SPI信号:

lmk_spi_csn:片选;

lmk_spi_sdio:数据;

lmk_spi_clk:时钟;

6、可编程管教:主要和LMK04821内部的PLL相关,本次设计中默认为0;

lmk_clk_sel0 :sel0;

lmk_clk_sel1 :sel1;

三、 SPI数据buffer定义

在本次设计中,SPI配置数据buffer,data_reg为24bit,r_w占1bit,箭头1所指包含W1、W2以及地址位占13bit,具体见SPI时序图;箭头2所指数据位8bit。

图4

根据图5我们可以知道,要配置LMK04821我们需要配置126个寄存器,这126个寄存器来源参见第一章实战记录。

其中,126个寄存器包含必须要配的寄存器、一些无关紧要的寄存器、以及功能实现所需要的寄存器等,有些寄存器需要配置多次。

图5

四、 SPI时序实现

设计中,我们需要按照顺序配置126个寄存器,也就是说SPI要执行126次。因此,在代码实现过程中,注意寄存器配置的顺序,并且保证每个寄存器都准确无误的配置完成,才能进行下一个寄存器的配置。如果在设计中,要求LMK004821实现不同的功能,当配置的寄存器个数不一致时,在v文件中更改图6所示的参数即可。

图6

如下:是LMK04821配置的模块,读者可以作为参考。

代码区(参考代码):


//###########################################################################//
// Copyright (C) 2017, JSZX, Co. Ltd. All Rights Reserved.
//###########################################################################//
//-- Project Name :
//-- File Name    :  lmk04821_spi
//-- Description  :
//###########################################################################//
//---------------------------Modification History----------------------------//
//-- Date        By            Ver   Comment
//-- 12/04/2017  hhh           1.0   Create new
//===================================================================
//-- End Revision
//===================================================================
`timescale 1ns / 1psmodule lmk04821_spi(input            cfg_clk               , //<=10MHzinput            cfg_rst               ,input            vio_cfg_en            ,input            vio_cfg_wr            ,//0,write;1,read;input [12:0]     vio_cfg_addr          ,input [07:0]     vio_cfg_wdata         ,input [07:0]     addr_118_data         ,input            r_w                   ,input            lmk_cfgen             ,output           lmk_rst               ,output           lmk_spi_csn           ,inout  tri       lmk_spi_sdio          ,output           lmk_spi_clk           ,output           lmk_clk_sel0          ,output           lmk_clk_sel1          ,output reg       regdatareadvalid      ,output reg [7:0] regdataread           ,output reg       lmk_cfgdone = 1'b0);//parameter definationparameter   NUM_REG      = 8'd126      ;//需要配置的寄存器个数parameter   CFG_DONE_DLY = 32'hF4240   ;//100ms@10Mhz;//====================================================================////----------------------internal signals------------------------------////====================================================================//reg [00:0]  lmk_cfgen_d0               ;reg [00:0]  lmk_cfgen_d1               ;reg [00:0]  lmk_cfgen_d2               ;reg [00:0]  vio_cfg_en_d0              ;reg [00:0]  vio_cfg_en_d1              ;reg [00:0]  vio_cfg_en_d2              ;reg [07:0]  cnt_clk                    ;// 每个寄存器需要的时钟数计数器reg [07:0]  cnt_reg                    ;// 需要配置的寄存器计数器,最多255个!reg [23:0]  data_reg                   ;reg [00:0]  load_p                     ;reg [00:0]  load_p_d0                  ;reg [35:0]  mid_data_o                 ;reg [35:0]  mid_csn_o                  ;reg [00:0]  spi_sdo                    ;reg [00:0]  spi_cs_n                   ;wire[00:0]  spi_sdi                    ;reg [05:0]  sdo_cnt                    ;
//    //====================================================================//
//    //-----------------------------ila debug------------------------------//
//    //====================================================================//
//    //ila_spi
//    ila_spi ila_spi(
//    .clk        ( cfg_clk             ),
//
//    .probe0     ( cnt_clk             ),//8
//    .probe1     ( cnt_reg             ),//8
//    .probe2     ( data_reg            ),//24
//    .probe3     ( load_p              ),//1
//    .probe4     ( sdo_cnt             ),//6
//    .probe5     ( spi_cs_n            ),//1
//    .probe6     ( spi_sdi             ),//1
//    .probe7     ( spi_sdo             ),//1
//    .probe8     ( lmk_cfgen_d1        ) //1
//    );//====================================================================////--------------------------main process------------------------------////====================================================================////lmk_clk_selassign      lmk_clk_sel0= 1'b0 ;assign      lmk_clk_sel1= 1'b0 ;//spi signals;assign      lmk_rst     = cfg_rst    ;assign      lmk_spi_clk = (spi_cs_n) ? 1'b0 : ~cfg_clk    ;assign      lmk_spi_csn = spi_cs_n    ;assign      spi_sdi     = lmk_spi_sdio;assign      lmk_spi_sdio= (data_reg[23]==1'b1 && sdo_cnt>6'h18)? 1'bz : spi_sdo ;//lmk_cfgen_d0/lmk_cfgen_d1/lmk_cfgen_d2/load_p_d0always @(posedge cfg_clk or posedge cfg_rst)beginif(cfg_rst==1'b1)beginlmk_cfgen_d0 <= 1'b0 ;lmk_cfgen_d1 <= 1'b0 ;lmk_cfgen_d2 <= 1'b0 ;load_p_d0    <= 1'b0 ;vio_cfg_en_d0 <= 1'b0 ;vio_cfg_en_d1 <= 1'b0 ;vio_cfg_en_d2 <= 1'b0 ;endelsebeginlmk_cfgen_d0 <= lmk_cfgen ;lmk_cfgen_d1 <= lmk_cfgen_d0 ;lmk_cfgen_d2 <= lmk_cfgen_d1 ;load_p_d0    <= load_p ;vio_cfg_en_d0 <= vio_cfg_en ;vio_cfg_en_d1 <= vio_cfg_en_d0 ;vio_cfg_en_d2 <= vio_cfg_en_d1 ;endend//load_p/cnt_reg/cnt_clkalways @(posedge cfg_clk or posedge cfg_rst)beginif(cfg_rst==1'b1)begincnt_reg <= 8'd0  ;cnt_clk <= 8'd36 ;load_p  <= 1'b0  ;endelsebeginif(lmk_cfgen_d1==1'b1 && lmk_cfgen_d2==1'b0)begincnt_clk <= 8'd0 ;cnt_reg <= 8'd0  ;load_p  <= 1'b0 ;endelse if((cnt_clk==8'd36)&&(cnt_reg<NUM_REG))begincnt_clk <= 8'd0 ;cnt_reg <= cnt_reg + 8'h1 ;load_p  <= 1'b1 ;endelsebeginload_p  <= 1'b0 ;if(cnt_clk==8'd36)//cnt_reg==NUM_REGbegincnt_clk <= 8'd0 ;cnt_reg <= cnt_reg ;endelsebegincnt_clk <= cnt_clk + 8'h1 ;cnt_reg <= cnt_reg ;endendendend//data_reg:VCO0,1930~2075;VCO1,2920~3080;always @(posedge cfg_clk or posedge cfg_rst)beginif(cfg_rst==1'b1)begindata_reg <= 24'h80_0000;endelsebegincase(cnt_reg)//VCO_2Ghz;// Serial Port Configuration8'd1     : data_reg <= {r_w,23'h0000_80} ;//soft reset8'd2     : data_reg <= {r_w,23'h0000_00} ;//8'd3     : data_reg <= {r_w,23'h0100_04} ;//500Mhz;DCLKout0: input and output drive level;device clock out divider values8'd4     : data_reg <= {r_w,23'h0101_55} ;//controls the digital delay high and low count values for the device clock outputs8'd5     : data_reg <= {r_w,23'h0103_00} ;//registers control the analog delay properties for the device clocks8'd6     : data_reg <= {r_w,23'h0104_22} ;//set the half step for the device clock, the SYSREF output MUX, the SYSREF clock digital delay,and half step8'd7     : data_reg <= {r_w,23'h0105_00} ;//set the analog delay parameters for the SYSREF outputs8'd8     : data_reg <= {r_w,23'h0106_70} ;//controls the power down functions for the digital delay, glitchless half step8'd9     : data_reg <= {r_w,23'h0107_15} ;//configure the output polarity, and format:11:LVDS;15:LVPECL16;8'd10    : data_reg <= {r_w,23'h0108_10} ;//125Mhz;DCLKout2;V7_IO_CLK2;8'd11    : data_reg <= {r_w,23'h0109_55} ;8'd12    : data_reg <= {r_w,23'h010B_00} ;8'd13    : data_reg <= {r_w,23'h010C_22} ;//bit[5]:SDCLKoutY_MUX;0, Device clock output;8'd14    : data_reg <= {r_w,23'h010D_00} ;8'd15    : data_reg <= {r_w,23'h010E_70} ;//bit[3]:0,enable;bit[4]:powerdown;8'd16    : data_reg <= {r_w,23'h010F_11} ;//11:LVDS;15:LVPECL168'd17    : data_reg <= {r_w,23'h0110_10} ;//125Mhz;DCLKout4;8'd18    : data_reg <= {r_w,23'h0111_55} ;8'd19    : data_reg <= {r_w,23'h0113_00} ;8'd20    : data_reg <= {r_w,23'h0114_22} ;//bit[5]:SDCLKoutY_MUX;0, Device clock output;8'd21    : data_reg <= {r_w,23'h0115_00} ;8'd22    : data_reg <= {r_w,23'h0116_70} ;//bit[3]:0,enable;bit[4]:powerdown;8'd23    : data_reg <= {r_w,23'h0117_11} ;//11:LVDS;15:LVPECL168'd24    : data_reg <= {r_w,23'h0118_04} ;//500Mhz;DCLKout6,FPGA;V7_IO_CLK0;8'd25    : data_reg <= {r_w,23'h0119_55} ;8'd26    : data_reg <= {r_w,23'h011B_00} ;8'd27    : data_reg <= {r_w,23'h011C_22} ;//bit[5]:SDCLKoutY_MUX;0, Device clock output;8'd28    : data_reg <= {r_w,23'h011D_00} ;8'd29    : data_reg <= {r_w,23'h011E_70} ;8'd30    : data_reg <= {r_w,23'h011F_11} ;//11:LVDS;15:LVPECL168'd31    : data_reg <= {r_w,23'h0120_10} ;//125Mhz;DCLKout8,FPGA MGT114 CLOCK;8'd32    : data_reg <= {r_w,23'h0121_55} ;8'd33    : data_reg <= {r_w,23'h0123_00} ;8'd34    : data_reg <= {r_w,23'h0124_02} ;//bit[5]:SDCLKoutY_MUX;0, Device clock output;8'd35    : data_reg <= {r_w,23'h0125_00} ;8'd36    : data_reg <= {r_w,23'h0126_70} ;8'd37    : data_reg <= {r_w,23'h0127_11} ;//11:LVDS;15:LVPECL168'd38    : data_reg <= {r_w,23'h0128_10} ;//125Mhz;DCLKout10,FPGA MGT116 CLOCK;8'd39    : data_reg <= {r_w,23'h0129_55} ;8'd40    : data_reg <= {r_w,23'h012B_00} ;8'd41    : data_reg <= {r_w,23'h012C_02} ;//bit[5]:SDCLKoutY_MUX;0, Device clock output;8'd42    : data_reg <= {r_w,23'h012D_00} ;8'd43    : data_reg <= {r_w,23'h012E_70} ;8'd44    : data_reg <= {r_w,23'h012F_11} ;//11:LVDS;15:LVPECL168'd45    : data_reg <= {r_w,23'h0130_04} ;//500Mhz;DCLKout12;8'd46    : data_reg <= {r_w,23'h0131_55} ;8'd47    : data_reg <= {r_w,23'h0133_00} ;8'd48    : data_reg <= {r_w,23'h0134_22} ;8'd49    : data_reg <= {r_w,23'h0135_00} ;8'd50    : data_reg <= {r_w,23'h0136_70} ;8'd51    : data_reg <= {r_w,23'h0137_15} ;//11:LVDS;15:LVPECL168'd52    : data_reg <= {r_w,23'h0138_00} ;//selects the clock distribution source, and OSCout parameters;VCO0;8'd53    : data_reg <= {r_w,23'h0139_03} ;//sets the source for the SYSREF outputs8'd54    : data_reg <= {r_w,23'h013A_00} ;//SYSREF_DIV[12:8] DIV register 1;sysref 2000M/160=12.5Mhz;8'd55    : data_reg <= {r_w,23'h013B_A0} ;//SYSREF_DIV[7:0] DIV register 0;8'd56    : data_reg <= {r_w,23'h013C_08} ;//set the delay of the SYSREF digital delay value[12:8]8'd57    : data_reg <= {r_w,23'h013D_00} ;//set the delay of the SYSREF digital delay value[7:0]8'd58    : data_reg <= {r_w,23'h013E_03} ;//sets the number of SYSREF pulses if SYSREF is not in continuous mode;8'd59    : data_reg <= {r_w,23'h013F_04} ;//controls the feedback feature8'd60    : data_reg <= {r_w,23'h0140_01} ;//13-OSCin PD; powerdown controls for OSCin and SYSREF functions;bit[0]:Powerdown SYSREF pulse generator;8'd61    : data_reg <= {r_w,23'h0141_FF} ;//enables dynamic digital delay for enabled device clocks8'd62    : data_reg <= {r_w,23'h0142_00} ;//sets the number of dynamic digital delay adjustments occur8'd63    : data_reg <= {r_w,23'h0143_91} ;//sets general SYNC parameters such as polarization, and mode8'd64    : data_reg <= {r_w,23'h0144_00} ;//prevent a clock output from being synchronized or interrupted by a SYNC event or when outputting SYSREF8'd65    : data_reg <= {r_w,23'h0145_7F} ;//Always program this register to value 1278'd66    : data_reg <= {r_w,23'h0171_AA} ;//8'd67    : data_reg <= {r_w,23'h0172_02} ;//8'd68    : data_reg <= {r_w,23'h0173_00} ;//bit[6]PLL2_PRE_PD; bit[5]PLL2_PD;8'd70    : data_reg <= {r_w,23'h017C_15} ;//OPT_REG_1:21;8'd71    : data_reg <= {r_w,23'h017D_33} ;//OPT_REG_2:51;8'd72    : data_reg <= {r_w,23'h0182_00} ;8'd73    : data_reg <= {r_w,23'h0183_00} ;8'd74    : data_reg <= {r_w,23'h0184_00} ;8'd75    : data_reg <= {r_w,23'h0185_00} ;8'd76    : data_reg <= {r_w,23'h0188_00} ;8'd77    : data_reg <= {r_w,23'h0146_38} ;//CLKin enable and type controls.8'd78    : data_reg <= {r_w,23'h0147_02} ;//CLKin_SEL_MODE. pin select mode;8'd79    : data_reg <= {r_w,23'h0148_02} ;//CLKin_SEL0 controls8'd80    : data_reg <= {r_w,23'h0149_42} ;//CLKin_SEL1 controls and register readback SDIO pin type8'd81    : data_reg <= {r_w,23'h014A_02} ;//contains control of the RESET pin8'd82    : data_reg <= {r_w,23'h014B_16} ;//contains the holdover functions:start;8'd83    : data_reg <= {r_w,23'h014C_00} ;//8'd84    : data_reg <= {r_w,23'h014D_00} ;//8'd85    : data_reg <= {r_w,23'h014E_C0} ;//8'd86    : data_reg <= {r_w,23'h014F_7F} ;//8'd87    : data_reg <= {r_w,23'h0150_03} ;//8'd88    : data_reg <= {r_w,23'h0151_02} ;//8'd89    : data_reg <= {r_w,23'h0152_00} ;//contains the holdover functions:end;8'd90    : data_reg <= {r_w,23'h0153_00} ;//CLKin0_R[13:8]8'd91    : data_reg <= {r_w,23'h0154_01} ;//CLKin0_R[7:0]8'd92    : data_reg <= {r_w,23'h0155_00} ;//CLKin1_R[13:8]8'd93    : data_reg <= {r_w,23'h0156_40} ;//CLKin1_R[7:0]8'd94    : data_reg <= {r_w,23'h0157_00} ;//CLKin2_R[13:8]8'd95    : data_reg <= {r_w,23'h0158_40} ;//CLKin2_R[7:0]8'd96    : data_reg <= {r_w,23'h0159_00} ;//PLL1_N[13:8]8'd97    : data_reg <= {r_w,23'h015A_01} ;//PLL1_N[7:0]8'd98    : data_reg <= {r_w,23'h015B_D4} ;//PLL1 phase detector8'd99    : data_reg <= {r_w,23'h015C_20} ;//PLL1_DLD_CNT[13:8]8'd100   : data_reg <= {r_w,23'h015D_00} ;//PLL1_DLD_CNT[7:0]8'd101   : data_reg <= {r_w,23'h015E_00} ;//contains the delay value for PLL1 N and R delays.8'd102   : data_reg <= {r_w,23'h015F_0B} ;//configures the PLL1 LD pin8'd103   : data_reg <= {r_w,23'h0160_00} ;//PLL2_R[11:8]8'd104   : data_reg <= {r_w,23'h0161_01} ;//PLL2_R[7:0]8'd105   : data_reg <= {r_w,23'h0162_44} ;//sets other PLL2 functions:[7:5]:PLL2_P;[4:2]:OSCin_FREQ;[1]:PLL2_XTAL_EN;[0]:PLL2_REF_2X_EN;8'd106   : data_reg <= {r_w,23'h0163_00} ;//PLL2_N_CAL[17:16]8'd107   : data_reg <= {r_w,23'h0164_00} ;//PLL2_N_CAL[15:8]8'd108   : data_reg <= {r_w,23'h0165_0C} ;//PLL2_N_CAL[7:0]8'd109   : data_reg <= {r_w,23'h0166_00} ;//PLL2_N[17:16],MSB;8'd110   : data_reg <= {r_w,23'h0167_00} ;//PLL2_N[15:8],---;8'd111   : data_reg <= {r_w,23'h0168_0A} ;//PLL2_N[7:0],LSB;8'd112   : data_reg <= {r_w,23'h0169_59} ;//controls the PLL2 phase detector8'd113   : data_reg <= {r_w,23'h016A_60} ;//8'd114   : data_reg <= {r_w,23'h016B_00} ;//8'd115   : data_reg <= {r_w,23'h016C_00} ;//8'd116   : data_reg <= {r_w,23'h016D_00} ;//8'd117   : data_reg <= {r_w,23'h016E_13} ;//8'd118   : data_reg <= {r_w,23'h0143_90} ;//8'd119   : data_reg <= {r_w,23'h0139_00} ;//8'd120   : data_reg <= {r_w,23'h0143_B0} ;//8'd121   : data_reg <= {r_w,23'h0143_90} ;//8'd122   : data_reg <= {r_w,23'h0144_FF} ;//8'd123   : data_reg <= {r_w,23'h0143_10} ;//8'd124   : data_reg <= {r_w,23'h0143_11} ;//8'd125   : data_reg <= {r_w,23'h0139_03} ;//8'd126   : data_reg <= {1'b1,23'h0002_00} ;//default  : data_reg <= 24'h80_0000  ;endcaseendend//spi_sdo/spi_cs_n/mid_data_o/mid_csn_o/mid_data_o/vio_cfg_cntalways @(posedge cfg_clk or posedge cfg_rst)beginif(cfg_rst==1'b1)beginspi_sdo    <=  1'b0;spi_cs_n   <=  1'b1;mid_data_o <=  36'h0;mid_csn_o  <=  36'hFFFFFFFFF;sdo_cnt <= 6'b0 ;endelsebeginif(load_p_d0==1'b1)beginspi_sdo    <=  1'b0;mid_data_o <=  {data_reg[23:0],12'hfff};//r_w:0 write;1 read;spi_cs_n   <=  1'b1;mid_csn_o  <=  {24'h0,12'hFFF};sdo_cnt <= 6'h1 ;endelse if(vio_cfg_en_d1==1'b1 && vio_cfg_en_d2==1'b0)beginspi_sdo    <=  1'b0;mid_data_o <=  {vio_cfg_wr,2'b00,vio_cfg_addr,vio_cfg_wdata,12'hfff};//r_w:0 write;1 read;spi_cs_n   <=  1'b1;mid_csn_o  <=  {24'h0,12'hFFF};sdo_cnt <= 6'h1 ;endelsebeginspi_sdo    <=  mid_data_o[35];mid_data_o <=  {mid_data_o[34:0],1'b0};spi_cs_n   <=  mid_csn_o[35];mid_csn_o  <=  {mid_csn_o[34:0],1'b1};if(sdo_cnt<6'h3f)beginsdo_cnt <= sdo_cnt + 6'h1 ;endelsebeginsdo_cnt <= sdo_cnt ;endendendend//regdatareadvalid/regdatareadalways @(posedge cfg_clk or posedge cfg_rst)beginif(cfg_rst==1'b1)beginregdatareadvalid <= 1'b0 ;regdataread <= 8'b0 ;endelsebeginif(spi_cs_n==1'b0)beginif(data_reg[23]==1'b1)beginif(sdo_cnt>6'd18 && sdo_cnt<6'd25)//2-17;18-25;beginregdatareadvalid <= 1'b0 ;regdataread <= {regdataread[6:0],spi_sdi};endelse if(sdo_cnt==6'd25)beginregdatareadvalid <= 1'b1 ;regdataread <= {regdataread[6:0],spi_sdi};endelsebeginregdatareadvalid <= 1'b0 ;regdataread <= regdataread ;endendelsebeginregdatareadvalid <= 1'b0 ;regdataread <= regdataread ;endendelsebeginregdatareadvalid <= 1'b0 ;regdataread <= regdataread ;endendend//lmk_cfgdonealways @(posedge cfg_clk or posedge cfg_rst)beginif(cfg_rst)beginlmk_cfgdone <= 1'b0 ;endelsebeginif(cnt_reg>=NUM_REG)beginlmk_cfgdone <= 1'b1 ;endelsebeginlmk_cfgdone <= 1'b0 ;endendend//====================================================================////-------------------------------  end  ------------------------------////====================================================================//endmodule
 

204B实战应用-LMK04821代码详解(二)相关推荐

  1. FPGA项目开发:204B实战应用-LMK04821代码详解(二)

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  2. Pytorch|YOWO原理及代码详解(二)

    Pytorch|YOWO原理及代码详解(二) 本博客上接,Pytorch|YOWO原理及代码详解(一),阅前可看. 1.正式训练 if opt.evaluate:logging('evaluating ...

  3. ELMo代码详解(二)

    ELMo代码解读笔记2:模型代码 2.模型代码介绍   模型代码主要包括以下几个部分:1.构建word embedding; 2.构建word_char embedding的准备; 3.语言模型介绍( ...

  4. Android实战:CoolWeather酷欧天气(加强版数据接口)代码详解(上)

    -----------------------------------该文章代码已停更,可参考浩比天气(更新于2019/6/25)----------------------------------- ...

  5. linux 进程间通信 dbus-glib【实例】详解二(下) 消息和消息总线(ListActivatableNames和服务器的自动启动)(附代码)

    linux 进程间通信 dbus-glib[实例]详解一(附代码)(d-feet工具使用) linux 进程间通信 dbus-glib[实例]详解二(上) 消息和消息总线(附代码) linux 进程间 ...

  6. linux 进程间通信 dbus-glib【实例】详解二(上) 消息和消息总线(附代码)

    linux 进程间通信 dbus-glib[实例]详解一(附代码)(d-feet工具使用) linux 进程间通信 dbus-glib[实例]详解二(上) 消息和消息总线(附代码) linux 进程间 ...

  7. 基于python的随机森林回归实现_PYTHON | 随机森林实战(代码+详解)

    大家好,我是菜鸟君,之前跟大家聊过R语言的随机森林建模,指路 R语言 | 随机森林建模实战(代码+详解),作为刚过完1024节日的码农算法工程师来说,怎么可能只会用一种语言呢?今天就来说说Python ...

  8. 微信公众平台万能代码详解-php语言(二)

    1.基础知识在上一篇地址有讲解和图片,内容大致包括微信开发者模式后台配置.微信公众开发者文档代码详解. 2.本篇将粘贴出包括所有类型在内的消息处理办法,在开发者模式下用代码完成所有编辑模式的基础内容. ...

  9. 微信小程序系列(4)如何用微信小程序写一个论坛?贴心代码详解(二)评论页

    源代码已开源,如果对你有帮助可以点个星:https://github.com/linkaimin/xdzs 写论坛不难,重点是各页面之间的信息传递! 先放成品图,虽然有点单调....但是麻雀虽小五脏俱 ...

最新文章

  1. getdate函数_SQL日期函数和GETDATE解释为带有语法示例
  2. Docker入门系列之三:如何将dockerfile制作好的镜像发布到Docker hub上
  3. PWN-PRACTICE-CTFSHOW-2
  4. mybatis 依赖于jdbc_优于jdbc的mybatis框架入门
  5. html div数据替换,在contenteditable div中替换innerHTML
  6. DFS序--树的问题转化为区间问题
  7. 【AMAD】django-compressor -- 将JS和CSS文件压缩为一个缓存文件
  8. SHA256算法原理详解图
  9. 既是消费者也是管理者:纽约州分布式能源改革
  10. java-php-python-ssm-民航售票管理系统-计算机毕业设计
  11. 【英语阅读】纽约时报 | 全球走向重新开放,生活在“试错”中继续
  12. 什么是数据产品经理?数据产品经理与传统产品经理有什么区别?
  13. [C语言]插入字符A:用字符数组作函数参数,编程实现在字符串每个字符间插入一个空格的功能。
  14. K12563 输出树的广度优先遍历序列
  15. ai生成图片是什么技术_人工智能如何学习生成猫的图片
  16. 为什么无法显示其他计算机,为什么网上邻居中看不到同一局域网的其他电脑?...
  17. 很喜欢的一个人:马云(从顽皮少年到商界大侠)
  18. java springboot + nginx 自建 文件集群服务器
  19. 如何进行职业技能培训
  20. windows系统“彻底”隐藏任务栏

热门文章

  1. 八、让照片说话之SadTalk
  2. Error running 'Unnamed': Unable to open debugger port (127.0.0.1:8749)
  3. Android Service后台下载 广播(Eventbus)通知并展示
  4. 硝烟中的 Scrum 和 XP(六)
  5. ribbon负载均衡
  6. CH55x使用Arduino编程记录(一)
  7. 【进阶篇】全流程学习《20天掌握Pytorch实战》纪实 | Day09 | 中阶API示范
  8. 企业报销系统完整设计方案
  9. JS基础 Promise
  10. echarts显示纵坐标轴上的箭头