基于

FPGA

的数字中频信号处理的设计与实现

【摘要】

中频信号处理技术是目前发展迅速的一项技术。

随着软件无线电理

论的发展,

数字下变频技术得到了越来越普遍的应用。

本文讨论了数字中频接收

机中变频、滤波等关键技术,利用

FPGA

编程实现了下变频处理和

FFT

处理。

给出了

FPGA

实现的数字下变频系统在测试中产生的波形和频谱,

作了测试结果

分析。

在某无线电分析仪中,

该技术被成功的应用在基于

FPGA

的数字信号处理

系统中。

【关键词】数字中频;数字下变频;滤波;复数

FFT

1.

引言

随着软件无线电技术的发展,

现代频谱分析仪等测量仪器中大多都采用数字

中频接收机方案,采用全数字的中频处理结构

[1]

。在信号处理过程中先通过高

A/D

采样得到数字中频信号,然后通过数字正交解调技术将信号搬移到基带,

通过多速率信号处理技术来设计抽取滤波器,

以降低数据率,

进而进行下一步的

信号处理

[2]

随着现场可编程门阵列(

FPGA

)器件在工艺方面的进步,

FPGA

器件以其

高速、可编程、模块化等特点而在数字信号处理中被大量使用。其中数字变频、

数字滤波和快速傅里叶变换

(

Fast Fourier Transform

FFT

)

是中频数字信号处理

中最为普遍、最为重要的处理方式

[3]

本文借助

MATLAB

软件对数字中频信号处理中的主要算法,包括数字下变

频、

CIC

滤波、

FIR

滤波、

FFT

、对数运算等处理过程进行了设计分析。编写了

FPGA

程序并通过

Modelsim

的仿真。最后给出了

FPGA

实现的数字中频处理器

在测试中产生的波形和频谱。

2.

数字中频处理的基本结构

经过

A/D

采样后的数字中频处理的主要过程包括数字下变频模块、

FFT

块、后续的取模检波等模块。

数字下变频主要功能包括三个方面:

(

1

)

变频,

数字混频器将数字中频信号

和数控振荡器(

Numerical Control Oscillator

NCO

)产生的正交本振信号相乘,

将感兴趣的信号下变频至零中频;

(

2

)

低通滤波,

滤除带外信号,

提取有用信号;

(

3

)采样速率转换,降低采样速率,以利于后续信号处理。

经过数字下变频后生成

I/Q

两路信号。

在分辨率带宽

(

RBW

)

较大时,

RBW

取决于中频滤波器的带宽,可以利用

FIR

滤波器作为决定

RBW

的中频滤波器。

当需要很高的频率分辨力时,

必须降低中频滤波器的带宽,

而窄带宽的中频滤波

器会减慢接收机的扫描速度并增加中频滤波器的设计难度。

所以要实现小的分辨

rbw数字信号处理_基于FPGA的数字中频信号处理的设计与实现相关推荐

  1. 多通路fpga 通信_基于FPGA的多路卫星信号处理系统的设计实现

    龙源期刊网 http://www.qikan.com.cn 基于 FPGA 的多路卫星信号处理系统的设 计实现 作者:曹晨 唐灵丽 来源:<中国新通信> 2014 年第 02 期 [摘要] ...

  2. h5 数字变化_基于JS实现数字动态变化显示效果附源码

    先给大家展示下效果,感觉不错,可以参考实现代码,文末附有源码哦. 1.目标 以液晶电子表样式,动态变化的在指定元素内显示数字. 目标关键词:动态变化(定时器),指定元素(DOM元素ID),数字(num ...

  3. python兼职平台信号处理_基于Python的数字信号处理初步

    作者:许欢 来源:EETOP 行者无疆(论坛usrname:ICNO.1) 的博客 Python 是目前的热门语言,一直觉得掌握一门编程语言对作为搞技术的来说还是很有必要的,结合工作中能用到的一些数据 ...

  4. 基于FPGA的数字视频信号处理器设计(中)

    今天给大侠带来基于FPGA的数字视频信号处理器设计,由于篇幅较长,分三篇.今天带来第二篇,中篇,视频信号概述和视频信号处理的框架.话不多说,上货. 之前也有图像处理相关方面的文章,这里超链接几篇,给各 ...

  5. 基于FPGA的数字视频信号处理器设计(下)

    基于FPGA的数字视频信号处理器设计(下) 今天给大侠带来基于FPGA的数字视频信号处理器设计,由于篇幅较长,分三篇.今天带来第三篇,下篇,程序测试与运行.话不多说,上货. 导读 图像是用各种观测系统 ...

  6. CASE_02 基于FPGA的数字钟万年历

             该系类博客序言和资源简介可浏览该博客:PREFACE FPGA经典案例序言 快速了解该系列博客的内容与可用 资源. 目录 1 简介 2 数字钟计数方案 2.1 计数方案一 2.2 计 ...

  7. 基于 FPGA 的数字抢答器设计

    下面是基于 FPGA 的数字抢答器设计,在quartusII下,modelsim下仿真,编程语言VHDL.   1.抢答器的工作原理 主持人宣布可以抢答后,在 20s 的定时时间内若有人按键抢答,则这 ...

  8. [FPGA]基于FPGA的数字跑表

    基于FPGA的数字跑表的设计与实现 一.设计要求 用FPGA设计并实现一个数字跑表,范围为0~59分59.99秒.可以实现数字跑表进行启动.停止计时和显示读数三个操作,可以在数码管上显示读数. 二.设 ...

  9. 基于FPGA的数字时钟设计

    基于FPGA的数字时钟设计 芯片与开发板 技术指标 1.具有正常的日时分秒技术显示功能,用七个数码管分别显示日,时,分,秒. 2.有按键校日,校时,校分,校秒. 3.利用led模拟整点报时功能. 4. ...

  10. 基于FPGA的数字识别实现

    前言 数字识别在我们生活中很常见,比如车牌识别.本篇博客就将介绍数字识别的方法,由于只是研究数字识别的方法,我们就不用硬件平台,而是用Modelsim和Matlab来仿真验证. 具体方法如下: 我们用 ...

最新文章

  1. PHP下ajax跨域的解决方案之CORS
  2. sublime Text3 设置多个浏览器预览
  3. shell中的函数、shell中的数组、 告警系统需求分析
  4. 北师大本科毕业需要过计算机二级吗,全国计算机二级
  5. 数据结构(十六)多源最短路径
  6. 安装并配置oracle11g,ORACLE11g 安装于基本配置说明
  7. K3/Cloud点按钮打开单据,列表,动态表单,简单账表和直接Sql报表示例
  8. 单片机两个正玄波信号的相位差计算_51单片机的定时器/计数器的原理与使用
  9. 中国数码电影摄影机行业市场供需与战略研究报告
  10. 运算符重载 - C++快速入门25
  11. BarTender怎么打印公式化的三列标签
  12. 编程十年 (6):虚荣的C
  13. 游戏窗口化工具_仙剑奇侠传16珍藏版大合集(含各个版本和工具)
  14. 新安装IAR打开现有工程
  15. 2022年了,Windows Vista还能用吗?
  16. 4G终端附着时如何选择SGW和PGW
  17. 一筐梨子amp;一筐水果——协变性(covariant)
  18. matlab中exp(liangx),Matlab基础知识
  19. 2015实习准备之C/C++篇(未完待续)
  20. 独立钻石C语言Mac,C Code Develo‪p for Mac-C Code Develo‪p Mac版下载 V1.0-PC6苹果网

热门文章

  1. ArcGIS下载在线地图影像上篇(手工版)
  2. win98 支持html5,win98 ghost ghost在WIN98怎么使用
  3. NEFU 大一寒假训练六(二分查找)2020.01.05
  4. 颠覆QQ,干掉微信?腾讯内测“朋友”,会是下一个国民社交APP吗
  5. c语言判断奇偶素数,用C语言如何判断素数
  6. Android Studio下载、安装和配置+SDK+tools下载(无敌超级详细版本)
  7. 计算机组成原理袁春风百度云,计算机组成原理[袁春风]chap32.pdf
  8. linux系列之常用运维命令整理笔录
  9. python无限弹窗1.0
  10. 32位单片机C语言编程 微盘,32位单片机C语言编程:基于PIC32